| 
									
										
										
										
											2014-02-11 19:15:50 +01:00
										 |  |  | " Vim filetype plugin file | 
					
						
							|  |  |  | " Language:    SystemVerilog | 
					
						
							|  |  |  | " Maintainer:  kocha <kocha.lsifrontend@gmail.com> | 
					
						
							| 
									
										
										
										
											2021-05-16 12:39:47 +02:00
										 |  |  | " Last Change: 07-May-2021 | 
					
						
							| 
									
										
										
										
											2014-02-11 19:15:50 +01:00
										 |  |  | 
 | 
					
						
							|  |  |  | if exists("b:did_ftplugin") | 
					
						
							|  |  |  |   finish | 
					
						
							|  |  |  | endif | 
					
						
							|  |  |  | 
 | 
					
						
							|  |  |  | " Behaves just like Verilog | 
					
						
							|  |  |  | runtime! ftplugin/verilog.vim | 
					
						
							| 
									
										
										
										
											2021-05-16 12:39:47 +02:00
										 |  |  | 
 | 
					
						
							|  |  |  | let s:cpo_save = &cpo | 
					
						
							|  |  |  | set cpo&vim | 
					
						
							|  |  |  | 
 | 
					
						
							|  |  |  | " Add SystemVerilog keywords for matchit plugin. | 
					
						
							|  |  |  | if exists("loaded_matchit") | 
					
						
							|  |  |  |   let b:match_words = | 
					
						
							|  |  |  |     \ '\<begin\>:\<end\>,' . | 
					
						
							|  |  |  |     \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' . | 
					
						
							|  |  |  |     \ '\<module\>:\<endmodule\>,' . | 
					
						
							|  |  |  |     \ '\<if\>:`\@<!\<else\>,' . | 
					
						
							|  |  |  |     \ '\<function\>:\<endfunction\>,' . | 
					
						
							|  |  |  |     \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' . | 
					
						
							|  |  |  |     \ '\<task\>:\<endtask\>,' . | 
					
						
							|  |  |  |     \ '\<specify\>:\<endspecify\>,' . | 
					
						
							|  |  |  |     \ '\<config\>:\<endconfig\>,' . | 
					
						
							|  |  |  |     \ '\<generate\>:\<endgenerate\>,' . | 
					
						
							|  |  |  |     \ '\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,' . | 
					
						
							|  |  |  |     \ '\<primitive\>:\<endprimitive\>,' . | 
					
						
							|  |  |  |     \ '\<table\>:\<endtable\>,' . | 
					
						
							|  |  |  |     \ '\<checker\>:\<endchecker\>,' . | 
					
						
							|  |  |  |     \ '\<class\>:\<endclass\>,' . | 
					
						
							|  |  |  |     \ '\<clocking\>:\<endclocking\>,' . | 
					
						
							| 
									
										
										
										
											2021-09-09 21:55:11 +02:00
										 |  |  |     \ '\<group\>:\<endgroup\>,' . | 
					
						
							| 
									
										
										
										
											2021-05-16 12:39:47 +02:00
										 |  |  |     \ '\<interface\>:\<endinterface\>,' . | 
					
						
							|  |  |  |     \ '\<package\>:\<endpackage\>,' . | 
					
						
							|  |  |  |     \ '\<program\>:\<endprogram\>,' . | 
					
						
							|  |  |  |     \ '\<property\>:\<endproperty\>,' . | 
					
						
							|  |  |  |     \ '\<sequence\>:\<endsequence\>' | 
					
						
							|  |  |  | endif | 
					
						
							|  |  |  | 
 | 
					
						
							|  |  |  | let &cpo = s:cpo_save | 
					
						
							|  |  |  | unlet s:cpo_save |