2004-06-13 20:20:40 +00:00
|
|
|
" Vim support file to detect file types
|
|
|
|
"
|
|
|
|
" Maintainer: Bram Moolenaar <Bram@vim.org>
|
2023-04-22 22:40:14 +01:00
|
|
|
" Last Change: 2023 Mar 28
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Listen very carefully, I will say this only once
|
|
|
|
if exists("did_load_filetypes")
|
|
|
|
finish
|
|
|
|
endif
|
|
|
|
let did_load_filetypes = 1
|
|
|
|
|
|
|
|
" Line continuation is used here, remove 'C' from 'cpoptions'
|
|
|
|
let s:cpo_save = &cpo
|
|
|
|
set cpo&vim
|
|
|
|
|
|
|
|
augroup filetypedetect
|
|
|
|
|
|
|
|
" Ignored extensions
|
2008-05-28 14:49:58 +00:00
|
|
|
if exists("*fnameescape")
|
2016-04-30 15:13:38 +02:00
|
|
|
au BufNewFile,BufRead ?\+.orig,?\+.bak,?\+.old,?\+.new,?\+.dpkg-dist,?\+.dpkg-old,?\+.dpkg-new,?\+.dpkg-bak,?\+.rpmsave,?\+.rpmnew,?\+.pacsave,?\+.pacnew
|
2008-05-28 14:49:58 +00:00
|
|
|
\ exe "doau filetypedetect BufRead " . fnameescape(expand("<afile>:r"))
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *~
|
|
|
|
\ let s:name = expand("<afile>") |
|
|
|
|
\ let s:short = substitute(s:name, '\~$', '', '') |
|
|
|
|
\ if s:name != s:short && s:short != "" |
|
2008-05-28 14:49:58 +00:00
|
|
|
\ exe "doau filetypedetect BufRead " . fnameescape(s:short) |
|
2004-06-13 20:20:40 +00:00
|
|
|
\ endif |
|
2008-08-06 17:06:04 +00:00
|
|
|
\ unlet! s:name s:short
|
2005-09-25 22:16:38 +00:00
|
|
|
au BufNewFile,BufRead ?\+.in
|
2004-06-13 20:20:40 +00:00
|
|
|
\ if expand("<afile>:t") != "configure.in" |
|
2008-05-28 14:49:58 +00:00
|
|
|
\ exe "doau filetypedetect BufRead " . fnameescape(expand("<afile>:r")) |
|
2004-06-13 20:20:40 +00:00
|
|
|
\ endif
|
2008-05-28 14:49:58 +00:00
|
|
|
elseif &verbose > 0
|
|
|
|
echomsg "Warning: some filetypes will not be recognized because this version of Vim does not have fnameescape()"
|
|
|
|
endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Pattern used to match file names which should not be inspected.
|
|
|
|
" Currently finds compressed files.
|
|
|
|
if !exists("g:ft_ignore_pat")
|
|
|
|
let g:ft_ignore_pat = '\.\(Z\|gz\|bz2\|zip\|tgz\)$'
|
|
|
|
endif
|
|
|
|
|
2005-03-18 20:25:31 +00:00
|
|
|
" Function used for patterns that end in a star: don't set the filetype if the
|
|
|
|
" file name matches ft_ignore_pat.
|
2019-04-04 13:11:03 +02:00
|
|
|
" When using this, the entry should probably be further down below with the
|
|
|
|
" other StarSetf() calls.
|
2022-02-17 21:30:26 +00:00
|
|
|
func s:StarSetf(ft)
|
2005-03-18 20:25:31 +00:00
|
|
|
if expand("<amatch>") !~ g:ft_ignore_pat
|
|
|
|
exe 'setf ' . a:ft
|
|
|
|
endif
|
2007-05-10 18:44:18 +00:00
|
|
|
endfunc
|
2005-03-18 20:25:31 +00:00
|
|
|
|
2017-06-22 14:16:31 +02:00
|
|
|
" Vim help file
|
|
|
|
au BufNewFile,BufRead $VIMRUNTIME/doc/*.txt setf help
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Abaqus or Trasys
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.inp call dist#ft#Check_inp()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2019-02-17 21:18:32 +01:00
|
|
|
" 8th (Firth-derivative)
|
|
|
|
au BufNewFile,BufRead *.8th setf 8th
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" A-A-P recipe
|
|
|
|
au BufNewFile,BufRead *.aap setf aap
|
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" A2ps printing utility
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/a2ps.cfg,*/etc/a2ps/*.cfg,a2psrc,.a2psrc setf a2ps
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2004-09-02 19:12:26 +00:00
|
|
|
" ABAB/4
|
|
|
|
au BufNewFile,BufRead *.abap setf abap
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" ABC music notation
|
|
|
|
au BufNewFile,BufRead *.abc setf abc
|
|
|
|
|
|
|
|
" ABEL
|
|
|
|
au BufNewFile,BufRead *.abl setf abel
|
|
|
|
|
|
|
|
" AceDB
|
|
|
|
au BufNewFile,BufRead *.wrm setf acedb
|
|
|
|
|
|
|
|
" Ada (83, 9X, 95)
|
|
|
|
au BufNewFile,BufRead *.adb,*.ads,*.ada setf ada
|
2007-05-05 18:24:42 +00:00
|
|
|
if has("vms")
|
2008-07-18 10:54:50 +00:00
|
|
|
au BufNewFile,BufRead *.gpr,*.ada_m,*.adc setf ada
|
2007-05-05 18:24:42 +00:00
|
|
|
else
|
2008-07-18 10:54:50 +00:00
|
|
|
au BufNewFile,BufRead *.gpr setf ada
|
2007-05-05 18:24:42 +00:00
|
|
|
endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" AHDL
|
|
|
|
au BufNewFile,BufRead *.tdf setf ahdl
|
|
|
|
|
2020-07-25 16:53:12 +02:00
|
|
|
" AIDL
|
|
|
|
au BufNewFile,BufRead *.aidl setf aidl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" AMPL
|
|
|
|
au BufNewFile,BufRead *.run setf ampl
|
|
|
|
|
|
|
|
" Ant
|
|
|
|
au BufNewFile,BufRead build.xml setf ant
|
|
|
|
|
2014-01-07 06:09:28 +01:00
|
|
|
" Arduino
|
|
|
|
au BufNewFile,BufRead *.ino,*.pde setf arduino
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Apache config file
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead .htaccess,*/etc/httpd/*.conf setf apache
|
2019-02-03 15:27:20 +01:00
|
|
|
au BufNewFile,BufRead */etc/apache2/sites-*/*.com setf apache
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" XA65 MOS6510 cross assembler
|
|
|
|
au BufNewFile,BufRead *.a65 setf a65
|
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" Applescript
|
|
|
|
au BufNewFile,BufRead *.scpt setf applescript
|
|
|
|
|
2023-01-11 12:20:10 +00:00
|
|
|
" Automake (must be before the *.am pattern)
|
|
|
|
au BufNewFile,BufRead [mM]akefile.am,GNUmakefile.am setf automake
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Applix ELF
|
2023-01-11 12:20:10 +00:00
|
|
|
au BufNewFile,BufRead *.am setf elf
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2004-09-13 20:26:32 +00:00
|
|
|
" ALSA configuration
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead .asoundrc,*/usr/share/alsa/alsa.conf,*/etc/asound.conf setf alsaconf
|
2004-09-13 20:26:32 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Arc Macro Language
|
|
|
|
au BufNewFile,BufRead *.aml setf aml
|
|
|
|
|
2012-10-05 22:26:30 +02:00
|
|
|
" APT config file
|
2013-09-22 14:42:24 +02:00
|
|
|
au BufNewFile,BufRead apt.conf setf aptconf
|
2012-10-05 22:26:30 +02:00
|
|
|
au BufNewFile,BufRead */.aptitude/config setf aptconf
|
2021-12-03 11:44:03 +00:00
|
|
|
" more generic pattern far down
|
2012-10-05 22:26:30 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Arch Inventory file
|
|
|
|
au BufNewFile,BufRead .arch-inventory,=tagging-method setf arch
|
|
|
|
|
|
|
|
" ART*Enterprise (formerly ART-IM)
|
|
|
|
au BufNewFile,BufRead *.art setf art
|
|
|
|
|
2013-03-19 11:35:58 +01:00
|
|
|
" AsciiDoc
|
2015-09-25 20:34:21 +02:00
|
|
|
au BufNewFile,BufRead *.asciidoc,*.adoc setf asciidoc
|
2013-03-19 11:35:58 +01:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" ASN.1
|
|
|
|
au BufNewFile,BufRead *.asn,*.asn1 setf asn
|
|
|
|
|
|
|
|
" Active Server Pages (with Visual Basic Script)
|
|
|
|
au BufNewFile,BufRead *.asa
|
|
|
|
\ if exists("g:filetype_asa") |
|
|
|
|
\ exe "setf " . g:filetype_asa |
|
|
|
|
\ else |
|
|
|
|
\ setf aspvbs |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" Active Server Pages (with Perl or Visual Basic Script)
|
|
|
|
au BufNewFile,BufRead *.asp
|
|
|
|
\ if exists("g:filetype_asp") |
|
|
|
|
\ exe "setf " . g:filetype_asp |
|
|
|
|
\ elseif getline(1) . getline(2) . getline(3) =~? "perlscript" |
|
|
|
|
\ setf aspperl |
|
|
|
|
\ else |
|
|
|
|
\ setf aspvbs |
|
|
|
|
\ endif
|
|
|
|
|
2022-04-19 19:38:38 +01:00
|
|
|
" Grub (must be before pattern *.lst)
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead */boot/grub/menu.lst,*/boot/grub/grub.conf,*/etc/grub.conf setf grub
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-04-18 14:32:42 +01:00
|
|
|
" Maxima, see:
|
|
|
|
" https://maxima.sourceforge.io/docs/manual/maxima_71.html#file_005ftype_005fmaxima
|
2022-04-19 19:38:38 +01:00
|
|
|
" Must be before the pattern *.mac.
|
|
|
|
" *.dem omitted - also used by gnuplot demos
|
|
|
|
" *.mc omitted - used by dist#ft#McSetf()
|
|
|
|
au BufNewFile,BufRead *.demo,*.dm{1,2,3,t},*.wxm,maxima-init.mac setf maxima
|
2022-04-18 14:32:42 +01:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Assembly (all kinds)
|
|
|
|
" *.lst is not pure assembly, it has two extra columns (address, byte codes)
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.asm,*.[sS],*.[aA],*.mac,*.lst call dist#ft#FTasm()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-04-19 19:38:38 +01:00
|
|
|
" Assembly - Macro (VAX)
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *.mar setf vmasm
|
|
|
|
|
2022-08-13 13:21:31 +01:00
|
|
|
" Astro
|
|
|
|
au BufNewFile,BufRead *.astro setf astro
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Atlas
|
|
|
|
au BufNewFile,BufRead *.atl,*.as setf atlas
|
|
|
|
|
2021-03-19 20:19:12 +01:00
|
|
|
" Atom is based on XML
|
|
|
|
au BufNewFile,BufRead *.atom setf xml
|
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Autoit v3
|
|
|
|
au BufNewFile,BufRead *.au3 setf autoit
|
|
|
|
|
2007-05-10 18:44:18 +00:00
|
|
|
" Autohotkey
|
|
|
|
au BufNewFile,BufRead *.ahk setf autohotkey
|
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Autotest .at files are actually m4
|
|
|
|
au BufNewFile,BufRead *.at setf m4
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Avenue
|
|
|
|
au BufNewFile,BufRead *.ave setf ave
|
|
|
|
|
|
|
|
" Awk
|
2020-08-15 14:07:23 +02:00
|
|
|
au BufNewFile,BufRead *.awk,*.gawk setf awk
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" B
|
|
|
|
au BufNewFile,BufRead *.mch,*.ref,*.imp setf b
|
|
|
|
|
|
|
|
" BASIC or Visual Basic
|
2022-01-21 14:55:13 +00:00
|
|
|
au BufNewFile,BufRead *.bas call dist#ft#FTbas()
|
|
|
|
au BufNewFile,BufRead *.bi,*.bm call dist#ft#FTbas()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-03-02 12:51:18 +00:00
|
|
|
" Bass
|
|
|
|
au BufNewFile,BufRead *.bass setf bass
|
|
|
|
|
2012-04-13 23:04:47 +02:00
|
|
|
" Visual Basic Script (close to Visual Basic) or Visual Basic .NET
|
|
|
|
au BufNewFile,BufRead *.vb,*.vbs,*.dsm,*.ctl setf vb
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" IBasic file (similar to QBasic)
|
|
|
|
au BufNewFile,BufRead *.iba,*.ibi setf ibasic
|
|
|
|
|
|
|
|
" FreeBasic file (similar to QBasic)
|
2022-01-21 14:55:13 +00:00
|
|
|
au BufNewFile,BufRead *.fb setf freebasic
|
2007-05-05 18:24:42 +00:00
|
|
|
|
2022-04-09 15:16:53 +01:00
|
|
|
" Batch file for MSDOS. See dist#ft#FTsys for *.sys
|
2022-04-13 15:29:21 +01:00
|
|
|
au BufNewFile,BufRead *.bat setf dosbatch
|
2004-06-13 20:20:40 +00:00
|
|
|
" *.cmd is close to a Batch file, but on OS/2 Rexx files also use *.cmd.
|
|
|
|
au BufNewFile,BufRead *.cmd
|
|
|
|
\ if getline(1) =~ '^/\*' | setf rexx | else | setf dosbatch | endif
|
2022-04-09 15:16:53 +01:00
|
|
|
" ABB RAPID or Batch file for MSDOS.
|
2022-04-13 15:29:21 +01:00
|
|
|
au BufNewFile,BufRead *.sys\c call dist#ft#FTsys()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Batch file for 4DOS
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.btm call dist#ft#FTbtm()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" BC calculator
|
|
|
|
au BufNewFile,BufRead *.bc setf bc
|
|
|
|
|
|
|
|
" BDF font
|
|
|
|
au BufNewFile,BufRead *.bdf setf bdf
|
|
|
|
|
2021-02-17 12:25:49 +01:00
|
|
|
" Beancount
|
|
|
|
au BufNewFile,BufRead *.beancount setf beancount
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" BibTeX bibliography database file
|
|
|
|
au BufNewFile,BufRead *.bib setf bib
|
|
|
|
|
2006-04-27 21:47:44 +00:00
|
|
|
" BibTeX Bibliography Style
|
|
|
|
au BufNewFile,BufRead *.bst setf bst
|
|
|
|
|
2022-02-17 21:30:26 +00:00
|
|
|
" Bicep
|
|
|
|
au BufNewFile,BufRead *.bicep setf bicep
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" BIND configuration
|
2017-03-29 13:48:40 +02:00
|
|
|
" sudoedit uses namedXXXX.conf
|
2017-11-02 22:58:42 +01:00
|
|
|
au BufNewFile,BufRead named*.conf,rndc*.conf,rndc*.key setf named
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" BIND zone
|
|
|
|
au BufNewFile,BufRead named.root setf bindzone
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.db call dist#ft#BindzoneCheck('')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Blank
|
|
|
|
au BufNewFile,BufRead *.bl setf blank
|
|
|
|
|
2022-07-16 17:46:47 +01:00
|
|
|
" Bitbake
|
|
|
|
au BufNewFile,BufRead *.bb,*.bbappend,*.bbclass,*/build/conf/*.conf,*/meta{-*,}/conf/*.conf setf bitbake
|
|
|
|
|
2008-07-18 10:54:50 +00:00
|
|
|
" Blkid cache file
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/blkid.tab,*/etc/blkid.tab.old setf xml
|
2008-07-18 10:54:50 +00:00
|
|
|
|
2020-03-19 20:32:01 +01:00
|
|
|
" BSDL
|
2022-07-25 21:40:06 +01:00
|
|
|
au BufNewFile,BufRead *.bsd,*.bsdl setf bsdl
|
2020-03-19 20:32:01 +01:00
|
|
|
|
2015-10-13 23:21:27 +02:00
|
|
|
" Bazel (http://bazel.io)
|
2023-01-13 15:35:17 +00:00
|
|
|
autocmd BufRead,BufNewFile *.bzl,*.bazel,WORKSPACE,WORKSPACE.bzlmod setf bzl
|
2017-01-10 13:31:15 +01:00
|
|
|
if has("fname_case")
|
2017-04-15 14:36:07 +02:00
|
|
|
" There is another check for BUILD further below.
|
2020-09-02 17:08:59 +02:00
|
|
|
autocmd BufRead,BufNewFile *.BUILD,BUILD setf bzl
|
2017-01-10 13:31:15 +01:00
|
|
|
endif
|
2015-10-13 23:21:27 +02:00
|
|
|
|
2023-04-22 15:35:28 +01:00
|
|
|
" Busted (Lua unit testing framework - configuration files)
|
|
|
|
au BufNewFile,BufRead .busted setf lua
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" C or lpc
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.c call dist#ft#FTlpc()
|
2018-01-28 22:47:25 +01:00
|
|
|
au BufNewFile,BufRead *.lpc,*.ulpc setf lpc
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-03-08 16:39:21 +00:00
|
|
|
" Cairo
|
|
|
|
au BufNewFile,BufRead *.cairo setf cairo
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Calendar
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead calendar setf calendar
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-01-22 13:10:39 +00:00
|
|
|
" Cap'n Proto
|
|
|
|
au BufNewFile,BufRead *.capnp setf capnp
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" C#
|
2021-12-15 13:30:43 +00:00
|
|
|
au BufNewFile,BufRead *.cs,*.csx setf cs
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2013-03-07 13:20:54 +01:00
|
|
|
" CSDL
|
|
|
|
au BufNewFile,BufRead *.csdl setf csdl
|
|
|
|
|
2010-05-14 23:24:24 +02:00
|
|
|
" Cabal
|
2011-03-22 14:05:35 +01:00
|
|
|
au BufNewFile,BufRead *.cabal setf cabal
|
2010-05-14 23:24:24 +02:00
|
|
|
|
2007-05-10 18:44:18 +00:00
|
|
|
" Cdrdao TOC
|
|
|
|
au BufNewFile,BufRead *.toc setf cdrtoc
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Cdrdao config
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead */etc/cdrdao.conf,*/etc/defaults/cdrdao,*/etc/default/cdrdao,.cdrdao setf cdrdaoconf
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2005-10-12 20:58:49 +00:00
|
|
|
" Cfengine
|
|
|
|
au BufNewFile,BufRead cfengine.conf setf cfengine
|
|
|
|
|
2010-05-14 23:24:24 +02:00
|
|
|
" ChaiScript
|
|
|
|
au BufRead,BufNewFile *.chai setf chaiscript
|
|
|
|
|
2022-09-20 12:02:28 +01:00
|
|
|
" Chatito
|
|
|
|
au BufNewFile,BufRead *.chatito setf chatito
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Comshare Dimension Definition Language
|
|
|
|
au BufNewFile,BufRead *.cdl setf cdl
|
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Conary Recipe
|
|
|
|
au BufNewFile,BufRead *.recipe setf conaryrecipe
|
|
|
|
|
2023-02-24 12:08:45 +00:00
|
|
|
" ChainPack Object Notation (CPON)
|
|
|
|
au BufNewFile,BufRead *.cpon setf cpon
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Controllable Regex Mutilator
|
|
|
|
au BufNewFile,BufRead *.crm setf crm
|
|
|
|
|
|
|
|
" Cyn++
|
|
|
|
au BufNewFile,BufRead *.cyn setf cynpp
|
|
|
|
|
|
|
|
" Cynlib
|
|
|
|
" .cc and .cpp files can be C++ or Cynlib.
|
|
|
|
au BufNewFile,BufRead *.cc
|
|
|
|
\ if exists("cynlib_syntax_for_cc")|setf cynlib|else|setf cpp|endif
|
|
|
|
au BufNewFile,BufRead *.cpp
|
|
|
|
\ if exists("cynlib_syntax_for_cpp")|setf cynlib|else|setf cpp|endif
|
|
|
|
|
|
|
|
" C++
|
2010-01-06 20:54:52 +01:00
|
|
|
au BufNewFile,BufRead *.cxx,*.c++,*.hh,*.hxx,*.hpp,*.ipp,*.moc,*.tcc,*.inl setf cpp
|
2004-06-13 20:20:40 +00:00
|
|
|
if has("fname_case")
|
2010-01-06 20:54:52 +01:00
|
|
|
au BufNewFile,BufRead *.C,*.H setf cpp
|
2004-06-13 20:20:40 +00:00
|
|
|
endif
|
|
|
|
|
2023-04-15 18:17:17 +01:00
|
|
|
" C++ 20 modules (clang)
|
|
|
|
" https://clang.llvm.org/docs/StandardCPlusPlusModules.html#file-name-requirement
|
|
|
|
au BufNewFile,BufRead *.cppm,*.ccm,*.cxxm,*.c++m setf cpp
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" .h files can be C, Ch C++, ObjC or ObjC++.
|
|
|
|
" Set c_syntax_for_h if you want C, ch_syntax_for_h if you want Ch. ObjC is
|
|
|
|
" detected automatically.
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.h call dist#ft#FTheader()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Ch (CHscript)
|
|
|
|
au BufNewFile,BufRead *.chf setf ch
|
|
|
|
|
|
|
|
" TLH files are C++ headers generated by Visual C++'s #import from typelibs
|
|
|
|
au BufNewFile,BufRead *.tlh setf cpp
|
|
|
|
|
|
|
|
" Cascading Style Sheets
|
|
|
|
au BufNewFile,BufRead *.css setf css
|
|
|
|
|
|
|
|
" Century Term Command Scripts (*.cmd too)
|
|
|
|
au BufNewFile,BufRead *.con setf cterm
|
|
|
|
|
|
|
|
" Changelog
|
2020-10-01 16:54:07 +02:00
|
|
|
au BufNewFile,BufRead changelog.Debian,changelog.dch,NEWS.Debian,NEWS.dch,*/debian/changelog
|
2006-03-26 21:06:50 +00:00
|
|
|
\ setf debchangelog
|
|
|
|
|
|
|
|
au BufNewFile,BufRead [cC]hange[lL]og
|
|
|
|
\ if getline(1) =~ '; urgency='
|
|
|
|
\| setf debchangelog
|
|
|
|
\| else
|
|
|
|
\| setf changelog
|
|
|
|
\| endif
|
|
|
|
|
|
|
|
au BufNewFile,BufRead NEWS
|
|
|
|
\ if getline(1) =~ '; urgency='
|
|
|
|
\| setf debchangelog
|
|
|
|
\| endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" CHILL
|
|
|
|
au BufNewFile,BufRead *..ch setf chill
|
|
|
|
|
|
|
|
" Changes for WEB and CWEB or CHILL
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.ch call dist#ft#FTchange()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2006-04-21 22:12:41 +00:00
|
|
|
" ChordPro
|
|
|
|
au BufNewFile,BufRead *.chopro,*.crd,*.cho,*.crdpro,*.chordpro setf chordpro
|
|
|
|
|
2023-01-31 18:57:17 +00:00
|
|
|
" Clangd
|
|
|
|
au BufNewFile,BufRead .clangd setf yaml
|
|
|
|
|
2022-10-19 15:39:49 +01:00
|
|
|
" Clang-format
|
|
|
|
au BufNewFile,BufRead .clang-format setf yaml
|
|
|
|
|
2022-10-12 12:09:40 +01:00
|
|
|
" Clang-tidy
|
|
|
|
au BufNewFile,BufRead .clang-tidy setf yaml
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Clean
|
|
|
|
au BufNewFile,BufRead *.dcl,*.icl setf clean
|
|
|
|
|
|
|
|
" Clever
|
|
|
|
au BufNewFile,BufRead *.eni setf cl
|
|
|
|
|
|
|
|
" Clever or dtd
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.ent call dist#ft#FTent()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-04-09 15:16:53 +01:00
|
|
|
" Clipper, FoxPro, ABB RAPID or eviews
|
|
|
|
au BufNewFile,BufRead *.prg\c call dist#ft#FTprg()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2013-02-06 17:34:04 +01:00
|
|
|
" Clojure
|
2015-06-09 19:44:55 +02:00
|
|
|
au BufNewFile,BufRead *.clj,*.cljs,*.cljx,*.cljc setf clojure
|
2013-02-06 17:34:04 +01:00
|
|
|
|
2006-04-18 21:55:01 +00:00
|
|
|
" Cmake
|
|
|
|
au BufNewFile,BufRead CMakeLists.txt,*.cmake,*.cmake.in setf cmake
|
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Cmusrc
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead */.cmus/{autosave,rc,command-history,*.theme} setf cmusrc
|
2007-05-05 18:24:42 +00:00
|
|
|
au BufNewFile,BufRead */cmus/{rc,*.theme} setf cmusrc
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Cobol
|
2006-03-26 21:06:50 +00:00
|
|
|
au BufNewFile,BufRead *.cbl,*.cob,*.lib setf cobol
|
|
|
|
" cobol or zope form controller python script? (heuristic)
|
|
|
|
au BufNewFile,BufRead *.cpy
|
|
|
|
\ if getline(1) =~ '^##' |
|
|
|
|
\ setf python |
|
|
|
|
\ else |
|
|
|
|
\ setf cobol |
|
|
|
|
\ endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Coco/R
|
|
|
|
au BufNewFile,BufRead *.atg setf coco
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Cold Fusion
|
|
|
|
au BufNewFile,BufRead *.cfm,*.cfi,*.cfc setf cf
|
|
|
|
|
|
|
|
" Configure scripts
|
|
|
|
au BufNewFile,BufRead configure.in,configure.ac setf config
|
|
|
|
|
2022-04-09 16:08:08 +01:00
|
|
|
" Cooklang
|
|
|
|
au BufNewFile,BufRead *.cook setf cook
|
|
|
|
|
2022-11-17 18:30:16 +00:00
|
|
|
" Clinical Quality Language (CQL)
|
|
|
|
" .cql is also mentioned as the 'XDCC Catcher queue list' file extension.
|
|
|
|
" If support for XDCC Catcher is needed in the future, the contents of the file
|
|
|
|
" needs to be inspected.
|
|
|
|
au BufNewFile,BufRead *.cql setf cqlang
|
|
|
|
|
2023-03-19 20:43:26 +00:00
|
|
|
" Crystal
|
|
|
|
au BufNewFile,BufRead *.cr setf crystal
|
|
|
|
|
2022-07-09 20:27:07 +01:00
|
|
|
" CSV Files
|
|
|
|
au BufNewFile,BufRead *.csv setf csv
|
|
|
|
|
2021-06-26 12:02:55 +02:00
|
|
|
" CUDA Compute Unified Device Architecture
|
2018-01-28 22:47:25 +01:00
|
|
|
au BufNewFile,BufRead *.cu,*.cuh setf cuda
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2023-02-27 14:32:08 +00:00
|
|
|
" Cue
|
|
|
|
au BufNewFile,BufRead *.cue setf cue
|
|
|
|
|
2020-01-31 22:57:59 +01:00
|
|
|
" Dockerfile; Podman uses the same syntax with name Containerfile
|
2021-12-26 17:31:35 +00:00
|
|
|
" Also see Dockerfile.* below.
|
2022-05-25 12:42:08 +01:00
|
|
|
au BufNewFile,BufRead Containerfile,Dockerfile,dockerfile,*.[dD]ockerfile setf dockerfile
|
2014-08-29 15:12:19 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" WildPackets EtherPeek Decoder
|
|
|
|
au BufNewFile,BufRead *.dcd setf dcd
|
|
|
|
|
|
|
|
" Enlightenment configuration files
|
|
|
|
au BufNewFile,BufRead *enlightenment/*.cfg setf c
|
|
|
|
|
|
|
|
" Eterm
|
|
|
|
au BufNewFile,BufRead *Eterm/*.cfg setf eterm
|
|
|
|
|
2021-06-26 12:02:55 +02:00
|
|
|
" Elixir or Euphoria
|
|
|
|
au BufNewFile,BufRead *.ex call dist#ft#ExCheck()
|
|
|
|
|
2022-04-11 11:37:13 +01:00
|
|
|
" Elixir
|
2021-06-26 12:02:55 +02:00
|
|
|
au BufRead,BufNewFile mix.lock,*.exs setf elixir
|
2022-04-11 11:37:13 +01:00
|
|
|
au BufRead,BufNewFile *.eex,*.leex setf eelixir
|
2021-06-26 12:02:55 +02:00
|
|
|
|
2022-04-02 10:48:24 +01:00
|
|
|
" Elvish
|
|
|
|
au BufRead,BufNewFile *.elv setf elvish
|
|
|
|
|
2014-02-24 02:51:51 +01:00
|
|
|
" Euphoria 3 or 4
|
2021-06-26 12:02:55 +02:00
|
|
|
au BufNewFile,BufRead *.eu,*.ew,*.exu,*.exw call dist#ft#EuphoriaCheck()
|
2014-02-24 02:51:51 +01:00
|
|
|
if has("fname_case")
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.EU,*.EW,*.EX,*.EXU,*.EXW call dist#ft#EuphoriaCheck()
|
2014-02-24 02:51:51 +01:00
|
|
|
endif
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Lynx config files
|
|
|
|
au BufNewFile,BufRead lynx.cfg setf lynx
|
|
|
|
|
2022-09-18 12:46:22 +01:00
|
|
|
" LyRiCs
|
|
|
|
au BufNewFile,BufRead *.lrc setf lyrics
|
|
|
|
|
2021-04-15 14:29:17 +02:00
|
|
|
" Modula-3 configuration language (must be before *.cfg and *makefile)
|
|
|
|
au BufNewFile,BufRead *.quake,cm3.cfg setf m3quake
|
|
|
|
au BufNewFile,BufRead m3makefile,m3overrides setf m3build
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Quake
|
|
|
|
au BufNewFile,BufRead *baseq[2-3]/*.cfg,*id1/*.cfg setf quake
|
|
|
|
au BufNewFile,BufRead *quake[1-3]/*.cfg setf quake
|
|
|
|
|
|
|
|
" Quake C
|
|
|
|
au BufNewFile,BufRead *.qc setf c
|
|
|
|
|
|
|
|
" Configure files
|
2022-04-09 15:16:53 +01:00
|
|
|
au BufNewFile,BufRead *.cfg\c call dist#ft#FTcfg()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2010-03-02 16:19:40 +01:00
|
|
|
" Cucumber
|
2011-03-22 14:05:35 +01:00
|
|
|
au BufNewFile,BufRead *.feature setf cucumber
|
2010-03-02 16:19:40 +01:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Communicating Sequential Processes
|
|
|
|
au BufNewFile,BufRead *.csp,*.fdr setf csp
|
|
|
|
|
|
|
|
" CUPL logic description and simulation
|
|
|
|
au BufNewFile,BufRead *.pld setf cupl
|
|
|
|
au BufNewFile,BufRead *.si setf cuplsim
|
|
|
|
|
2019-10-19 14:10:21 +02:00
|
|
|
" Dart
|
|
|
|
au BufRead,BufNewfile *.dart,*.drt setf dart
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Debian Control
|
|
|
|
au BufNewFile,BufRead */debian/control setf debcontrol
|
2008-06-25 20:13:35 +00:00
|
|
|
au BufNewFile,BufRead control
|
|
|
|
\ if getline(1) =~ '^Source:'
|
|
|
|
\| setf debcontrol
|
|
|
|
\| endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2018-05-06 17:57:30 +02:00
|
|
|
" Debian Copyright
|
|
|
|
au BufNewFile,BufRead */debian/copyright setf debcopyright
|
|
|
|
au BufNewFile,BufRead copyright
|
|
|
|
\ if getline(1) =~ '^Format:'
|
|
|
|
\| setf debcopyright
|
|
|
|
\| endif
|
|
|
|
|
2006-03-28 21:08:56 +00:00
|
|
|
" Debian Sources.list
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/apt/sources.list setf debsources
|
|
|
|
au BufNewFile,BufRead */etc/apt/sources.list.d/*.list setf debsources
|
2006-03-28 21:08:56 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Deny hosts
|
|
|
|
au BufNewFile,BufRead denyhosts.conf setf denyhosts
|
|
|
|
|
2023-02-24 16:01:54 +00:00
|
|
|
" Dhall
|
|
|
|
au BufNewFile,BufRead *.dhall setf dhall
|
|
|
|
|
2011-04-28 19:02:44 +02:00
|
|
|
" dnsmasq(8) configuration files
|
2011-05-19 12:22:51 +02:00
|
|
|
au BufNewFile,BufRead */etc/dnsmasq.conf setf dnsmasq
|
2011-04-28 19:02:44 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" ROCKLinux package description
|
|
|
|
au BufNewFile,BufRead *.desc setf desc
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" the D language or dtrace
|
2022-02-24 17:59:09 +00:00
|
|
|
au BufNewFile,BufRead */dtrace/*.d setf dtrace
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.d call dist#ft#DtraceCheck()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Desktop files
|
2020-06-10 22:07:52 +02:00
|
|
|
au BufNewFile,BufRead *.desktop,*.directory setf desktop
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Dict config
|
|
|
|
au BufNewFile,BufRead dict.conf,.dictrc setf dictconf
|
|
|
|
|
|
|
|
" Dictd config
|
2021-09-26 22:11:52 +01:00
|
|
|
au BufNewFile,BufRead dictd*.conf setf dictdconf
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2021-12-17 20:52:57 +00:00
|
|
|
" DEP3 formatted patch files
|
|
|
|
au BufNewFile,BufRead */debian/patches/* call dist#ft#Dep3patch()
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Diff files
|
2017-07-15 13:53:23 +02:00
|
|
|
au BufNewFile,BufRead *.diff,*.rej setf diff
|
|
|
|
au BufNewFile,BufRead *.patch
|
2022-01-05 20:56:38 +00:00
|
|
|
\ if getline(1) =~# '^From [0-9a-f]\{40,\} Mon Sep 17 00:00:00 2001$' |
|
2017-07-15 13:53:23 +02:00
|
|
|
\ setf gitsendemail |
|
|
|
|
\ else |
|
|
|
|
\ setf diff |
|
|
|
|
\ endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Dircolors
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead .dir_colors,.dircolors,*/etc/DIR_COLORS setf dircolors
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Diva (with Skill) or InstallShield
|
|
|
|
au BufNewFile,BufRead *.rul
|
|
|
|
\ if getline(1).getline(2).getline(3).getline(4).getline(5).getline(6) =~? 'InstallShield' |
|
|
|
|
\ setf ishd |
|
|
|
|
\ else |
|
|
|
|
\ setf diva |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" DCL (Digital Command Language - vms) or DNS zone file
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.com call dist#ft#BindzoneCheck('dcl')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" DOT
|
2020-01-27 22:47:09 +01:00
|
|
|
au BufNewFile,BufRead *.dot,*.gv setf dot
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-01-01 13:54:00 +01:00
|
|
|
" Dune
|
|
|
|
au BufNewFile,BufRead jbuild,dune,dune-project,dune-workspace setf dune
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Dylan - lid files
|
|
|
|
au BufNewFile,BufRead *.lid setf dylanlid
|
|
|
|
|
|
|
|
" Dylan - intr files (melange)
|
|
|
|
au BufNewFile,BufRead *.intr setf dylanintr
|
|
|
|
|
|
|
|
" Dylan
|
|
|
|
au BufNewFile,BufRead *.dylan setf dylan
|
|
|
|
|
|
|
|
" Microsoft Module Definition
|
|
|
|
au BufNewFile,BufRead *.def setf def
|
|
|
|
|
|
|
|
" Dracula
|
|
|
|
au BufNewFile,BufRead *.drac,*.drc,*lvs,*lpe setf dracula
|
|
|
|
|
2010-08-01 21:48:21 +02:00
|
|
|
" Datascript
|
|
|
|
au BufNewFile,BufRead *.ds setf datascript
|
|
|
|
|
2021-08-17 23:44:54 +02:00
|
|
|
" dsl: DSSSL or Structurizr
|
|
|
|
au BufNewFile,BufRead *.dsl
|
|
|
|
\ if getline(1) =~ '^\s*<\!' |
|
|
|
|
\ setf dsl |
|
|
|
|
\ else |
|
|
|
|
\ setf structurizr |
|
|
|
|
\ endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" DTD (Document Type Definition for XML)
|
|
|
|
au BufNewFile,BufRead *.dtd setf dtd
|
|
|
|
|
2012-10-03 21:46:54 +02:00
|
|
|
" DTS/DSTI (device tree files)
|
|
|
|
au BufNewFile,BufRead *.dts,*.dtsi setf dts
|
|
|
|
|
2017-01-17 12:22:32 +01:00
|
|
|
" EDIF (*.edf,*.edif,*.edn,*.edo) or edn
|
|
|
|
au BufNewFile,BufRead *.ed\(f\|if\|o\) setf edif
|
|
|
|
au BufNewFile,BufRead *.edn
|
|
|
|
\ if getline(1) =~ '^\s*(\s*edif\>' |
|
|
|
|
\ setf edif |
|
|
|
|
\ else |
|
|
|
|
\ setf clojure |
|
|
|
|
\ endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-01-09 20:08:00 +00:00
|
|
|
" EditorConfig
|
|
|
|
au BufNewFile,BufRead .editorconfig setf editorconfig
|
2016-09-16 20:02:31 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Embedix Component Description
|
|
|
|
au BufNewFile,BufRead *.ecd setf ecd
|
|
|
|
|
2014-03-08 18:38:28 +01:00
|
|
|
" Eiffel or Specman or Euphoria
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.e,*.E call dist#ft#FTe()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Elinks configuration
|
2020-06-26 20:23:45 +02:00
|
|
|
au BufNewFile,BufRead elinks.conf setf elinks
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" ERicsson LANGuage; Yaws is erlang too
|
2008-07-13 17:41:49 +00:00
|
|
|
au BufNewFile,BufRead *.erl,*.hrl,*.yaws setf erlang
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2020-05-30 13:15:14 +02:00
|
|
|
" Elm
|
|
|
|
au BufNewFile,BufRead *.elm setf elm
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Elm Filter Rules file
|
|
|
|
au BufNewFile,BufRead filter-rules setf elmfilt
|
|
|
|
|
2023-01-29 17:50:14 +00:00
|
|
|
" Elsa - https://github.com/ucsd-progsys/elsa
|
|
|
|
au BufNewFile,BufRead *.lc setf elsa
|
|
|
|
|
2023-03-19 21:57:07 +00:00
|
|
|
" EdgeDB Schema Definition Language
|
|
|
|
au BufNewFile,BufRead *.esdl setf esdl
|
|
|
|
|
2005-02-22 08:49:11 +00:00
|
|
|
" ESMTP rc file
|
|
|
|
au BufNewFile,BufRead *esmtprc setf esmtprc
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" ESQL-C
|
|
|
|
au BufNewFile,BufRead *.ec,*.EC setf esqlc
|
|
|
|
|
2005-05-20 21:27:20 +00:00
|
|
|
" Esterel
|
|
|
|
au BufNewFile,BufRead *.strl setf esterel
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Essbase script
|
|
|
|
au BufNewFile,BufRead *.csc setf csc
|
|
|
|
|
|
|
|
" Exim
|
|
|
|
au BufNewFile,BufRead exim.conf setf exim
|
|
|
|
|
|
|
|
" Expect
|
|
|
|
au BufNewFile,BufRead *.exp setf expect
|
|
|
|
|
|
|
|
" Exports
|
|
|
|
au BufNewFile,BufRead exports setf exports
|
|
|
|
|
2010-09-30 21:47:56 +02:00
|
|
|
" Falcon
|
2011-03-22 14:05:35 +01:00
|
|
|
au BufNewFile,BufRead *.fal setf falcon
|
2010-09-30 21:47:56 +02:00
|
|
|
|
2010-06-01 21:57:09 +02:00
|
|
|
" Fantom
|
|
|
|
au BufNewFile,BufRead *.fan,*.fwt setf fan
|
|
|
|
|
2005-06-16 21:59:56 +00:00
|
|
|
" Factor
|
|
|
|
au BufNewFile,BufRead *.factor setf factor
|
|
|
|
|
2021-01-22 20:55:04 +01:00
|
|
|
" Fennel
|
2021-04-17 14:06:39 +02:00
|
|
|
autocmd BufRead,BufNewFile *.fnl setf fennel
|
2021-01-22 20:55:04 +01:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Fetchmail RC file
|
|
|
|
au BufNewFile,BufRead .fetchmailrc setf fetchmail
|
|
|
|
|
2023-02-02 13:30:13 +00:00
|
|
|
" FIRRTL - Flexible Internal Representation for RTL
|
|
|
|
au BufNewFile,BufRead *.fir setf firrtl
|
|
|
|
|
2021-11-16 15:28:10 +00:00
|
|
|
" Fish shell
|
|
|
|
au BufNewFile,BufRead *.fish setf fish
|
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" FlexWiki - disabled, because it has side effects when a .wiki file
|
|
|
|
" is not actually FlexWiki
|
|
|
|
"au BufNewFile,BufRead *.wiki setf flexwiki
|
2006-04-27 21:47:44 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Focus Executable
|
|
|
|
au BufNewFile,BufRead *.fex,*.focexec setf focexec
|
|
|
|
|
|
|
|
" Focus Master file (but not for auto.master)
|
|
|
|
au BufNewFile,BufRead auto.master setf conf
|
|
|
|
au BufNewFile,BufRead *.mas,*.master setf master
|
|
|
|
|
|
|
|
" Forth
|
2021-11-27 17:22:07 +00:00
|
|
|
au BufNewFile,BufRead *.ft,*.fth setf forth
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Reva Forth
|
|
|
|
au BufNewFile,BufRead *.frt setf reva
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Fortran
|
2007-05-05 18:24:42 +00:00
|
|
|
if has("fname_case")
|
2010-08-03 22:45:06 +02:00
|
|
|
au BufNewFile,BufRead *.F,*.FOR,*.FPP,*.FTN,*.F77,*.F90,*.F95,*.F03,*.F08 setf fortran
|
2007-05-05 18:24:42 +00:00
|
|
|
endif
|
2010-08-03 22:45:06 +02:00
|
|
|
au BufNewFile,BufRead *.f,*.for,*.fortran,*.fpp,*.ftn,*.f77,*.f90,*.f95,*.f03,*.f08 setf fortran
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-08-06 17:06:04 +00:00
|
|
|
" Framescript
|
|
|
|
au BufNewFile,BufRead *.fsl setf framescript
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" FStab
|
2006-04-05 20:41:53 +00:00
|
|
|
au BufNewFile,BufRead fstab,mtab setf fstab
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-02-06 19:32:07 +00:00
|
|
|
" Func
|
|
|
|
au BufNewFile,BufRead *.fc setf func
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Fusion
|
|
|
|
au BufRead,BufNewFile *.fusion setf fusion
|
|
|
|
|
2021-11-30 13:02:58 +00:00
|
|
|
" F# or Forth
|
|
|
|
au BufNewFile,BufRead *.fs call dist#ft#FTfs()
|
|
|
|
|
2022-12-23 13:18:44 +00:00
|
|
|
" FHIR Shorthand (FSH)
|
|
|
|
au BufNewFile,BufRead *.fsh setf fsh
|
|
|
|
|
2021-11-30 13:02:58 +00:00
|
|
|
" F#
|
|
|
|
au BufNewFile,BufRead *.fsi,*.fsx setf fsharp
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" GDB command files
|
2022-03-15 12:00:23 +00:00
|
|
|
au BufNewFile,BufRead .gdbinit,gdbinit,.gdbearlyinit,gdbearlyinit,*.gdb setf gdb
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" GDMO
|
|
|
|
au BufNewFile,BufRead *.mo,*.gdmo setf gdmo
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" GDscript
|
|
|
|
au BufNewFile,BufRead *.gd setf gdscript
|
|
|
|
|
|
|
|
" Godot resource
|
2022-08-29 15:28:53 +01:00
|
|
|
au BufRead,BufNewFile *.tscn,*.tres setf gdresource
|
|
|
|
|
|
|
|
" Godot shader
|
|
|
|
au BufRead,BufNewFile *.gdshader,*.shader setf gdshader
|
2022-01-23 17:09:05 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Gedcom
|
2010-01-06 20:54:52 +01:00
|
|
|
au BufNewFile,BufRead *.ged,lltxxxxx.txt setf gedcom
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-07-03 13:51:44 +02:00
|
|
|
" Gemtext
|
|
|
|
au BufNewFile,BufRead *.gmi,*.gemini setf gemtext
|
|
|
|
|
2020-12-24 13:33:46 +01:00
|
|
|
" Gift (Moodle)
|
2021-04-17 14:06:39 +02:00
|
|
|
autocmd BufRead,BufNewFile *.gift setf gift
|
2020-12-24 13:33:46 +01:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Git
|
2020-06-21 22:12:03 +02:00
|
|
|
au BufNewFile,BufRead COMMIT_EDITMSG,MERGE_MSG,TAG_EDITMSG setf gitcommit
|
2022-01-05 20:56:38 +00:00
|
|
|
au BufNewFile,BufRead NOTES_EDITMSG,EDIT_DESCRIPTION setf gitcommit
|
2022-01-23 11:28:16 +00:00
|
|
|
au BufNewFile,BufRead *.git/config,.gitconfig,*/etc/gitconfig setf gitconfig
|
2018-10-27 14:27:20 +02:00
|
|
|
au BufNewFile,BufRead */.config/git/config setf gitconfig
|
2022-01-23 11:28:16 +00:00
|
|
|
au BufNewFile,BufRead *.git/config.worktree setf gitconfig
|
|
|
|
au BufNewFile,BufRead *.git/worktrees/*/config.worktree setf gitconfig
|
2018-10-27 14:27:20 +02:00
|
|
|
au BufNewFile,BufRead .gitmodules,*.git/modules/*/config setf gitconfig
|
2014-11-05 17:02:17 +01:00
|
|
|
if !empty($XDG_CONFIG_HOME)
|
2018-10-27 14:27:20 +02:00
|
|
|
au BufNewFile,BufRead $XDG_CONFIG_HOME/git/config setf gitconfig
|
2022-09-09 14:11:41 +01:00
|
|
|
au BufNewFile,BufRead $XDG_CONFIG_HOME/git/attributes setf gitattributes
|
2022-09-10 11:04:24 +01:00
|
|
|
au BufNewFile,BufRead $XDG_CONFIG_HOME/git/ignore setf gitignore
|
2014-11-05 17:02:17 +01:00
|
|
|
endif
|
2022-09-09 14:11:41 +01:00
|
|
|
au BufNewFile,BufRead .gitattributes,*.git/info/attributes setf gitattributes
|
2022-09-10 11:04:24 +01:00
|
|
|
au BufNewFile,BufRead */.config/git/attributes setf gitattributes
|
|
|
|
au BufNewFile,BufRead */etc/gitattributes setf gitattributes
|
|
|
|
au BufNewFile,BufRead .gitignore,*.git/info/exclude setf gitignore
|
|
|
|
au BufNewFile,BufRead */.config/git/ignore setf gitignore
|
|
|
|
au BufNewFile,BufRead git-rebase-todo setf gitrebase
|
|
|
|
au BufRead,BufNewFile .gitsendemail.msg.?????? setf gitsendemail
|
2013-08-03 18:35:36 +02:00
|
|
|
au BufNewFile,BufRead *.git/*
|
2022-01-05 20:56:38 +00:00
|
|
|
\ if getline(1) =~# '^\x\{40,\}\>\|^ref: ' |
|
2008-06-25 20:13:35 +00:00
|
|
|
\ setf git |
|
|
|
|
\ endif
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Gkrellmrc
|
|
|
|
au BufNewFile,BufRead gkrellmrc,gkrellmrc_? setf gkrellmrc
|
|
|
|
|
2022-04-30 15:10:07 +01:00
|
|
|
" Gleam
|
|
|
|
au BufNewFile,BufRead *.gleam setf gleam
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" GLSL
|
|
|
|
au BufNewFile,BufRead *.glsl setf glsl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" GP scripts (2.0 and onward)
|
2006-04-12 21:52:12 +00:00
|
|
|
au BufNewFile,BufRead *.gp,.gprc setf gp
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" GPG
|
|
|
|
au BufNewFile,BufRead */.gnupg/options setf gpg
|
|
|
|
au BufNewFile,BufRead */.gnupg/gpg.conf setf gpg
|
2013-08-03 18:35:36 +02:00
|
|
|
au BufNewFile,BufRead */usr/*/gnupg/options.skel setf gpg
|
2016-09-22 22:33:02 +02:00
|
|
|
if !empty($GNUPGHOME)
|
|
|
|
au BufNewFile,BufRead $GNUPGHOME/options setf gpg
|
|
|
|
au BufNewFile,BufRead $GNUPGHOME/gpg.conf setf gpg
|
|
|
|
endif
|
2011-04-28 19:02:44 +02:00
|
|
|
|
|
|
|
" gnash(1) configuration files
|
|
|
|
au BufNewFile,BufRead gnashrc,.gnashrc,gnashpluginrc,.gnashpluginrc setf gnash
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2011-10-20 22:22:38 +02:00
|
|
|
" Gitolite
|
|
|
|
au BufNewFile,BufRead gitolite.conf setf gitolite
|
2013-03-19 11:35:58 +01:00
|
|
|
au BufNewFile,BufRead {,.}gitolite.rc,example.gitolite.rc setf perl
|
2011-10-20 22:22:38 +02:00
|
|
|
|
2022-02-19 16:35:58 +00:00
|
|
|
" Glimmer-flavored TypeScript and JavaScript
|
2022-09-27 11:57:13 +01:00
|
|
|
au BufNewFile,BufRead *.gts setf typescript.glimmer
|
|
|
|
au BufNewFile,BufRead *.gjs setf javascript.glimmer
|
2022-02-19 16:35:58 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Gnuplot scripts
|
2022-01-23 11:19:37 +00:00
|
|
|
au BufNewFile,BufRead *.gpi,.gnuplot setf gnuplot
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2014-08-22 19:21:47 +02:00
|
|
|
" Go (Google)
|
|
|
|
au BufNewFile,BufRead *.go setf go
|
2021-10-16 13:44:04 +01:00
|
|
|
au BufNewFile,BufRead Gopkg.lock setf toml
|
2022-01-23 17:09:05 +00:00
|
|
|
au BufRead,BufNewFile go.work setf gowork
|
2014-08-22 19:21:47 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" GrADS scripts
|
|
|
|
au BufNewFile,BufRead *.gs setf grads
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" GraphQL
|
|
|
|
au BufNewFile,BufRead *.graphql,*.graphqls,*.gql setf graphql
|
|
|
|
|
2006-01-12 23:22:24 +00:00
|
|
|
" Gretl
|
|
|
|
au BufNewFile,BufRead *.gretl setf gretl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Groovy
|
2023-04-18 20:53:22 +01:00
|
|
|
au BufNewFile,BufRead *.gradle,*.groovy,Jenkinsfile setf groovy
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" GNU Server Pages
|
|
|
|
au BufNewFile,BufRead *.gsp setf gsp
|
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Group file
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/group,*/etc/group-,*/etc/group.edit,*/etc/gshadow,*/etc/gshadow-,*/etc/gshadow.edit,*/var/backups/group.bak,*/var/backups/gshadow.bak setf group
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" GTK RC
|
|
|
|
au BufNewFile,BufRead .gtkrc,gtkrc setf gtkrc
|
|
|
|
|
2022-09-27 11:35:09 +01:00
|
|
|
" GYP
|
|
|
|
au BufNewFile,BufRead *.gyp,*.gypi setf gyp
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Hack
|
|
|
|
au BufRead,BufNewFile *.hack,*.hackpartial setf hack
|
|
|
|
|
2008-07-13 17:41:49 +00:00
|
|
|
" Haml
|
|
|
|
au BufNewFile,BufRead *.haml setf haml
|
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Hamster Classic | Playground files
|
2021-04-17 14:06:39 +02:00
|
|
|
au BufNewFile,BufRead *.hsm setf hamster
|
2007-05-05 18:24:42 +00:00
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Handlebars
|
|
|
|
au BufNewFile,BufRead *.hbs setf handlebars
|
|
|
|
|
2022-06-29 13:48:49 +01:00
|
|
|
" Hare
|
|
|
|
au BufNewFile,BufRead *.ha setf hare
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Haskell
|
2021-05-23 11:18:50 +02:00
|
|
|
au BufNewFile,BufRead *.hs,*.hsc,*.hs-boot,*.hsig setf haskell
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *.lhs setf lhaskell
|
|
|
|
au BufNewFile,BufRead *.chs setf chaskell
|
2020-11-22 15:51:24 +01:00
|
|
|
au BufNewFile,BufRead cabal.project setf cabalproject
|
|
|
|
au BufNewFile,BufRead $HOME/.cabal/config setf cabalconfig
|
|
|
|
au BufNewFile,BufRead cabal.config setf cabalconfig
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Haste
|
|
|
|
au BufNewFile,BufRead *.ht setf haste
|
2008-07-13 17:41:49 +00:00
|
|
|
au BufNewFile,BufRead *.htpp setf hastepreproc
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" HCL
|
|
|
|
au BufRead,BufNewFile *.hcl setf hcl
|
|
|
|
|
2023-01-11 21:24:26 +00:00
|
|
|
" Go checksum file (must be before *.sum Hercules)
|
2023-02-04 12:12:36 +00:00
|
|
|
au BufNewFile,BufRead go.sum,go.work.sum setf gosum
|
2023-01-11 21:24:26 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Hercules
|
2016-10-30 21:55:26 +01:00
|
|
|
au BufNewFile,BufRead *.vc,*.ev,*.sum,*.errsum setf hercules
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-04-11 11:37:13 +01:00
|
|
|
" HEEx
|
|
|
|
au BufRead,BufNewFile *.heex setf heex
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" HEX (Intel)
|
|
|
|
au BufNewFile,BufRead *.hex,*.h32 setf hex
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Hjson
|
|
|
|
au BufNewFile,BufRead *.hjson setf hjson
|
|
|
|
|
2022-09-25 12:35:49 +01:00
|
|
|
" HLS Playlist (or another form of playlist)
|
|
|
|
au BufNewFile,BufRead *.m3u,*.m3u8 setf hlsplaylist
|
2022-09-23 20:33:39 +01:00
|
|
|
|
2019-08-17 20:09:31 +02:00
|
|
|
" Hollywood
|
|
|
|
au BufRead,BufNewFile *.hws setf hollywood
|
|
|
|
|
2022-05-25 12:42:08 +01:00
|
|
|
" Hoon
|
|
|
|
au BufRead,BufNewFile *.hoon setf hoon
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Tilde (must be before HTML)
|
|
|
|
au BufNewFile,BufRead *.t.html setf tilde
|
|
|
|
|
2005-08-24 22:16:11 +00:00
|
|
|
" HTML (.shtml and .stm for server side)
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.html,*.htm,*.shtml,*.stm call dist#ft#FThtml()
|
2022-04-25 17:40:56 +01:00
|
|
|
au BufNewFile,BufRead *.cshtml setf html
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-08-24 22:16:11 +00:00
|
|
|
" HTML with Ruby - eRuby
|
2007-05-10 18:44:18 +00:00
|
|
|
au BufNewFile,BufRead *.erb,*.rhtml setf eruby
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" HTML with M4
|
|
|
|
au BufNewFile,BufRead *.html.m4 setf htmlm4
|
|
|
|
|
2019-05-06 21:46:10 +02:00
|
|
|
" Some template. Used to be HTML Cheetah.
|
|
|
|
au BufNewFile,BufRead *.tmpl setf template
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Host config
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/host.conf setf hostconf
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2008-07-18 10:54:50 +00:00
|
|
|
" Hosts access
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/hosts.allow,*/etc/hosts.deny setf hostsaccess
|
2008-07-18 10:54:50 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Hyper Builder
|
|
|
|
au BufNewFile,BufRead *.hb setf hb
|
|
|
|
|
2013-06-12 21:29:15 +02:00
|
|
|
" Httest
|
|
|
|
au BufNewFile,BufRead *.htt,*.htb setf httest
|
|
|
|
|
2022-07-27 15:07:06 +01:00
|
|
|
" i3
|
|
|
|
au BufNewFile,BufRead */i3/config setf i3config
|
2022-09-11 13:37:37 +01:00
|
|
|
au BufNewFile,BufRead */.i3/config setf i3config
|
2022-07-27 15:07:06 +01:00
|
|
|
|
|
|
|
" sway
|
|
|
|
au BufNewFile,BufRead */sway/config setf swayconfig
|
2022-09-11 13:37:37 +01:00
|
|
|
au BufNewFile,BufRead */.sway/config setf swayconfig
|
2021-12-14 09:34:41 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Icon
|
|
|
|
au BufNewFile,BufRead *.icn setf icon
|
|
|
|
|
|
|
|
" IDL (Interface Description Language)
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.idl call dist#ft#FTidl()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Microsoft IDL (Interface Description Language) Also *.idl
|
|
|
|
" MOF = WMI (Windows Management Instrumentation) Managed Object Format
|
|
|
|
au BufNewFile,BufRead *.odl,*.mof setf msidl
|
|
|
|
|
|
|
|
" Icewm menu
|
|
|
|
au BufNewFile,BufRead */.icewm/menu setf icemenu
|
|
|
|
|
2007-05-10 18:44:18 +00:00
|
|
|
" Indent profile (must come before IDL *.pro!)
|
|
|
|
au BufNewFile,BufRead .indent.pro setf indent
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead indent.pro call dist#ft#ProtoCheck('indent')
|
2007-05-10 18:44:18 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" IDL (Interactive Data Language)
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.pro call dist#ft#ProtoCheck('idlang')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Indent RC
|
2008-07-18 10:54:50 +00:00
|
|
|
au BufNewFile,BufRead indentrc setf indent
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Inform
|
|
|
|
au BufNewFile,BufRead *.inf,*.INF setf inform
|
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Initng
|
2013-08-03 18:35:36 +02:00
|
|
|
au BufNewFile,BufRead */etc/initng/*/*.i,*.ii setf initng
|
2007-05-05 18:24:42 +00:00
|
|
|
|
2013-06-24 22:22:18 +02:00
|
|
|
" Innovation Data Processing
|
2020-06-21 22:12:03 +02:00
|
|
|
au BufRead,BufNewFile upstream.dat\c,upstream.*.dat\c,*.upstream.dat\c setf upstreamdat
|
|
|
|
au BufRead,BufNewFile fdrupstream.log,upstream.log\c,upstream.*.log\c,*.upstream.log\c,UPSTREAM-*.log\c setf upstreamlog
|
2013-08-03 18:35:36 +02:00
|
|
|
au BufRead,BufNewFile upstreaminstall.log\c,upstreaminstall.*.log\c,*.upstreaminstall.log\c setf upstreaminstalllog
|
2020-06-21 22:12:03 +02:00
|
|
|
au BufRead,BufNewFile usserver.log\c,usserver.*.log\c,*.usserver.log\c setf usserverlog
|
|
|
|
au BufRead,BufNewFile usw2kagt.log\c,usw2kagt.*.log\c,*.usw2kagt.log\c setf usw2kagtlog
|
2013-06-24 22:22:18 +02:00
|
|
|
|
2005-01-31 19:19:04 +00:00
|
|
|
" Ipfilter
|
2007-05-05 18:24:42 +00:00
|
|
|
au BufNewFile,BufRead ipf.conf,ipf6.conf,ipf.rules setf ipfilter
|
2005-01-31 19:19:04 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Informix 4GL (source - canonical, include file, I4GL+M4 preproc.)
|
|
|
|
au BufNewFile,BufRead *.4gl,*.4gh,*.m4gl setf fgl
|
|
|
|
|
|
|
|
" .INI file for MSDOS
|
|
|
|
au BufNewFile,BufRead *.ini setf dosini
|
|
|
|
|
|
|
|
" SysV Inittab
|
|
|
|
au BufNewFile,BufRead inittab setf inittab
|
|
|
|
|
|
|
|
" Inno Setup
|
|
|
|
au BufNewFile,BufRead *.iss setf iss
|
|
|
|
|
2013-09-22 14:42:24 +02:00
|
|
|
" J
|
|
|
|
au BufNewFile,BufRead *.ijs setf j
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" JAL
|
|
|
|
au BufNewFile,BufRead *.jal,*.JAL setf jal
|
|
|
|
|
|
|
|
" Jam
|
|
|
|
au BufNewFile,BufRead *.jpl,*.jpr setf jam
|
|
|
|
|
|
|
|
" Java
|
|
|
|
au BufNewFile,BufRead *.java,*.jav setf java
|
|
|
|
|
|
|
|
" JavaCC
|
|
|
|
au BufNewFile,BufRead *.jj,*.jjt setf javacc
|
|
|
|
|
2019-11-26 13:43:40 +01:00
|
|
|
" JavaScript, ECMAScript, ES module script, CommonJS script
|
2022-09-07 14:13:31 +01:00
|
|
|
au BufNewFile,BufRead *.js,*.jsm,*.javascript,*.es,*.mjs,*.cjs setf javascript
|
2019-08-26 21:28:15 +02:00
|
|
|
|
|
|
|
" JavaScript with React
|
|
|
|
au BufNewFile,BufRead *.jsx setf javascriptreact
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Java Server Pages
|
|
|
|
au BufNewFile,BufRead *.jsp setf jsp
|
|
|
|
|
|
|
|
" Java Properties resource file (note: doesn't catch font.properties.pl)
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead *.properties,*.properties_??,*.properties_??_?? setf jproperties
|
2022-11-26 13:03:20 +00:00
|
|
|
" Eclipse preference files use Java Properties syntax
|
|
|
|
au BufNewFile,BufRead org.eclipse.*.prefs setf jproperties
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Jess
|
|
|
|
au BufNewFile,BufRead *.clp setf jess
|
|
|
|
|
|
|
|
" Jgraph
|
|
|
|
au BufNewFile,BufRead *.jgr setf jgraph
|
|
|
|
|
2011-06-19 05:09:16 +02:00
|
|
|
" Jovial
|
|
|
|
au BufNewFile,BufRead *.jov,*.j73,*.jovial setf jovial
|
|
|
|
|
2022-12-26 15:35:18 +00:00
|
|
|
" Jq
|
|
|
|
au BufNewFile,BufRead *.jq setf jq
|
|
|
|
|
2022-01-23 13:05:39 +00:00
|
|
|
" JSON5
|
|
|
|
au BufNewFile,BufRead *.json5 setf json5
|
|
|
|
|
2021-06-25 21:54:25 +02:00
|
|
|
" JSON Patch (RFC 6902)
|
2022-12-19 20:42:49 +00:00
|
|
|
au BufNewFile,BufRead *.json-patch setf json
|
2021-06-25 21:54:25 +02:00
|
|
|
|
2021-06-13 17:03:06 +02:00
|
|
|
" Jupyter Notebook is also json
|
2022-12-19 20:42:49 +00:00
|
|
|
au BufNewFile,BufRead *.ipynb setf json
|
2021-06-13 17:03:06 +02:00
|
|
|
|
2021-10-03 20:11:20 +01:00
|
|
|
" Other files that look like json
|
2023-01-31 18:57:17 +00:00
|
|
|
au BufNewFile,BufRead .prettierrc,.firebaserc,.stylelintrc setf json
|
2021-10-03 20:11:20 +01:00
|
|
|
|
2022-12-19 20:42:49 +00:00
|
|
|
" JSONC (JSON with comments)
|
2023-02-27 14:32:08 +00:00
|
|
|
au BufNewFile,BufRead *.jsonc,.babelrc,.eslintrc,.jsfmtrc setf jsonc
|
2023-02-01 15:31:23 +00:00
|
|
|
au BufNewFile,BufRead .jshintrc,.hintrc,.swrc,[jt]sconfig*.json setf jsonc
|
2022-12-19 20:42:49 +00:00
|
|
|
|
|
|
|
" JSON
|
|
|
|
au BufNewFile,BufRead *.json,*.jsonp,*.webmanifest setf json
|
2021-07-03 13:09:37 +02:00
|
|
|
|
2022-09-08 14:41:48 +01:00
|
|
|
" Jsonnet
|
2022-10-20 20:52:44 +01:00
|
|
|
au BufNewFile,BufRead *.jsonnet,*.libsonnet setf jsonnet
|
2022-09-08 14:41:48 +01:00
|
|
|
|
2021-08-04 17:03:59 +02:00
|
|
|
" Julia
|
|
|
|
au BufNewFile,BufRead *.jl setf julia
|
|
|
|
|
2023-01-30 15:19:57 +00:00
|
|
|
" KDL
|
|
|
|
au BufNewFile,BufRead *.kdl setf kdl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Kixtart
|
|
|
|
au BufNewFile,BufRead *.kix setf kix
|
|
|
|
|
2022-04-06 18:57:39 +01:00
|
|
|
" Kuka Robot Language
|
|
|
|
au BufNewFile,BufRead *.src\c call dist#ft#FTsrc()
|
|
|
|
au BufNewFile,BufRead *.dat\c call dist#ft#FTdat()
|
|
|
|
au BufNewFile,BufRead *.sub\c setf krl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Kimwitu[++]
|
|
|
|
au BufNewFile,BufRead *.k setf kwt
|
|
|
|
|
2014-06-12 21:46:14 +02:00
|
|
|
" Kivy
|
|
|
|
au BufNewFile,BufRead *.kv setf kivy
|
|
|
|
|
2020-01-31 22:57:59 +01:00
|
|
|
" Kotlin
|
|
|
|
au BufNewFile,BufRead *.kt,*.ktm,*.kts setf kotlin
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" KDE script
|
|
|
|
au BufNewFile,BufRead *.ks setf kscript
|
|
|
|
|
2006-04-11 21:38:50 +00:00
|
|
|
" Kconfig
|
|
|
|
au BufNewFile,BufRead Kconfig,Kconfig.debug setf kconfig
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Lace (ISE)
|
|
|
|
au BufNewFile,BufRead *.ace,*.ACE setf lace
|
|
|
|
|
2022-10-17 13:32:17 +01:00
|
|
|
" Larch Shared Language
|
|
|
|
au BufNewFile,BufRead .lsl call dist#ft#FTlsl()
|
|
|
|
|
2022-09-27 11:27:23 +01:00
|
|
|
" Latexmkrc
|
|
|
|
au BufNewFile,BufRead .latexmkrc,latexmkrc setf perl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Latte
|
|
|
|
au BufNewFile,BufRead *.latte,*.lte setf latte
|
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Limits
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/limits,*/etc/*limits.conf,*/etc/*limits.d/*.conf setf limits
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2022-06-30 16:25:21 +01:00
|
|
|
" LambdaProlog or SML (see dist#ft#FTmod for *.mod)
|
|
|
|
au BufNewFile,BufRead *.sig call dist#ft#FTsig()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" LDAP LDIF
|
|
|
|
au BufNewFile,BufRead *.ldif setf ldif
|
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Ld loader
|
|
|
|
au BufNewFile,BufRead *.ld setf ld
|
|
|
|
|
2023-03-21 19:57:33 +00:00
|
|
|
" Lean
|
|
|
|
au BufNewFile,BufRead *.lean setf lean
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Ledger
|
|
|
|
au BufRead,BufNewFile *.ldg,*.ledger,*.journal setf ledger
|
|
|
|
|
2015-01-07 16:57:10 +01:00
|
|
|
" Less
|
|
|
|
au BufNewFile,BufRead *.less setf less
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Lex
|
2014-03-08 18:38:28 +01:00
|
|
|
au BufNewFile,BufRead *.lex,*.l,*.lxx,*.l++ setf lex
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Libao
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/libao.conf,*/.libao setf libao
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Libsensors
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/sensors.conf,*/etc/sensors3.conf setf sensors
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" LFTP
|
|
|
|
au BufNewFile,BufRead lftp.conf,.lftprc,*lftp/rc setf lftp
|
|
|
|
|
|
|
|
" Lifelines (or Lex for C++!)
|
|
|
|
au BufNewFile,BufRead *.ll setf lifelines
|
|
|
|
|
|
|
|
" Lilo: Linux loader
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead lilo.conf setf lilo
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-04-19 15:29:57 +01:00
|
|
|
" Lilypond
|
|
|
|
au BufNewFile,BufRead *.ly,*.ily setf lilypond
|
|
|
|
|
2020-06-01 14:43:59 +02:00
|
|
|
" Lisp (*.el = ELisp, *.cl = Common Lisp)
|
|
|
|
" *.jl was removed, it's also used for Julia, better skip than guess wrong.
|
2004-06-13 20:20:40 +00:00
|
|
|
if has("fname_case")
|
2022-01-01 11:38:42 +00:00
|
|
|
au BufNewFile,BufRead *.lsp,*.lisp,*.asd,*.el,*.cl,*.L,.emacs,.sawfishrc setf lisp
|
2004-06-13 20:20:40 +00:00
|
|
|
else
|
2022-01-01 11:38:42 +00:00
|
|
|
au BufNewFile,BufRead *.lsp,*.lisp,*.asd,*.el,*.cl,.emacs,.sawfishrc setf lisp
|
2004-06-13 20:20:40 +00:00
|
|
|
endif
|
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" SBCL implementation of Common Lisp
|
|
|
|
au BufNewFile,BufRead sbclrc,.sbclrc setf lisp
|
|
|
|
|
2010-05-21 12:05:36 +02:00
|
|
|
" Liquid
|
|
|
|
au BufNewFile,BufRead *.liquid setf liquid
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Lite
|
|
|
|
au BufNewFile,BufRead *.lite,*.lt setf lite
|
|
|
|
|
2007-05-10 18:44:18 +00:00
|
|
|
" LiteStep RC files
|
|
|
|
au BufNewFile,BufRead */LiteStep/*/*.rc setf litestep
|
|
|
|
|
2023-03-28 21:28:36 +01:00
|
|
|
" Livebook
|
|
|
|
au BufNewFile,BufRead *.livemd setf livebook
|
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Login access
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/login.access setf loginaccess
|
2005-06-29 22:40:58 +00:00
|
|
|
|
|
|
|
" Login defs
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/login.defs setf logindefs
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Logtalk
|
|
|
|
au BufNewFile,BufRead *.lgt setf logtalk
|
|
|
|
|
|
|
|
" LOTOS
|
|
|
|
au BufNewFile,BufRead *.lot,*.lotos setf lotos
|
|
|
|
|
|
|
|
" Lout (also: *.lt)
|
|
|
|
au BufNewFile,BufRead *.lou,*.lout setf lout
|
|
|
|
|
|
|
|
" Lua
|
|
|
|
au BufNewFile,BufRead *.lua setf lua
|
|
|
|
|
2022-09-27 13:07:05 +01:00
|
|
|
" Luacheck
|
|
|
|
au BufNewFile,BufRead .luacheckrc setf lua
|
|
|
|
|
2014-09-09 18:48:09 +02:00
|
|
|
" Luarocks
|
|
|
|
au BufNewFile,BufRead *.rockspec setf lua
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Linden Scripting Language (Second Life)
|
2022-10-17 13:32:17 +01:00
|
|
|
au BufNewFile,BufRead *.lsl call dist#ft#FTlsl()
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Lynx style file (or LotusScript!)
|
|
|
|
au BufNewFile,BufRead *.lss setf lss
|
|
|
|
|
|
|
|
" M4
|
|
|
|
au BufNewFile,BufRead *.m4
|
|
|
|
\ if expand("<afile>") !~? 'html.m4$\|fvwm2rc' | setf m4 | endif
|
|
|
|
|
|
|
|
" MaGic Point
|
|
|
|
au BufNewFile,BufRead *.mgp setf mgp
|
|
|
|
|
2017-11-02 22:58:42 +01:00
|
|
|
" Mail (for Elm, trn, mutt, muttng, rn, slrn, neomutt)
|
|
|
|
au BufNewFile,BufRead snd.\d\+,.letter,.letter.\d\+,.followup,.article,.article.\d\+,pico.\d\+,mutt{ng,}-*-\w\+,mutt[[:alnum:]_-]\\\{6\},neomutt-*-\w\+,neomutt[[:alnum:]_-]\\\{6\},ae\d\+.txt,/tmp/SLRN[0-9A-Z.]\+,*.eml setf mail
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2006-03-26 21:06:50 +00:00
|
|
|
" Mail aliases
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/mail/aliases,*/etc/aliases setf mailaliases
|
2006-03-26 21:06:50 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Mailcap configuration file
|
|
|
|
au BufNewFile,BufRead .mailcap,mailcap setf mailcap
|
|
|
|
|
|
|
|
" Makefile
|
|
|
|
au BufNewFile,BufRead *[mM]akefile,*.mk,*.mak,*.dsp setf make
|
|
|
|
|
|
|
|
" MakeIndex
|
|
|
|
au BufNewFile,BufRead *.ist,*.mst setf ist
|
|
|
|
|
2013-02-13 16:15:55 +01:00
|
|
|
" Mallard
|
|
|
|
au BufNewFile,BufRead *.page setf mallard
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Manpage
|
|
|
|
au BufNewFile,BufRead *.man setf man
|
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Man config
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/man.conf,man.config setf manconf
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Maple V
|
|
|
|
au BufNewFile,BufRead *.mv,*.mpl,*.mws setf maple
|
|
|
|
|
2008-07-13 17:41:49 +00:00
|
|
|
" Map (UMN mapserver config file)
|
|
|
|
au BufNewFile,BufRead *.map setf map
|
|
|
|
|
2010-05-21 12:05:36 +02:00
|
|
|
" Markdown
|
2014-10-15 22:51:52 +02:00
|
|
|
au BufNewFile,BufRead *.markdown,*.mdown,*.mkd,*.mkdn,*.mdwn,*.md setf markdown
|
2010-05-21 12:05:36 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Mason
|
2014-08-22 19:21:47 +02:00
|
|
|
au BufNewFile,BufRead *.mason,*.mhtml,*.comp setf mason
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-09-03 19:21:36 +02:00
|
|
|
" Mathematica, Matlab, Murphi, Objective C or Octave
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.m call dist#ft#FTm()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Mathematica notebook
|
|
|
|
au BufNewFile,BufRead *.nb setf mma
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Maya Extension Language
|
|
|
|
au BufNewFile,BufRead *.mel setf mel
|
|
|
|
|
2012-08-15 17:43:31 +02:00
|
|
|
" Mercurial (hg) commit file
|
|
|
|
au BufNewFile,BufRead hg-editor-*.txt setf hgcommit
|
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" Mercurial config (looks like generic config file)
|
|
|
|
au BufNewFile,BufRead *.hgrc,*hgrc setf cfg
|
|
|
|
|
2022-11-22 19:16:29 +00:00
|
|
|
" Mermaid
|
|
|
|
au BufNewFile,BufRead *.mmd,*.mmdc,*.mermaid setf mermaid
|
|
|
|
|
2019-10-18 22:09:34 +02:00
|
|
|
" Meson Build system config
|
|
|
|
au BufNewFile,BufRead meson.build,meson_options.txt setf meson
|
2021-05-06 17:45:22 +02:00
|
|
|
au BufNewFile,BufRead *.wrap setf dosini
|
2019-10-18 22:09:34 +02:00
|
|
|
|
2011-02-25 14:42:19 +01:00
|
|
|
" Messages (logs mostly)
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead */log/{auth,cron,daemon,debug,kern,lpr,mail,messages,news/news,syslog,user}{,.log,.err,.info,.warn,.crit,.notice}{,.[0-9]*,-[0-9]*} setf messages
|
2007-05-05 18:24:42 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Metafont
|
|
|
|
au BufNewFile,BufRead *.mf setf mf
|
|
|
|
|
|
|
|
" MetaPost
|
|
|
|
au BufNewFile,BufRead *.mp setf mp
|
2022-08-12 21:57:13 +01:00
|
|
|
au BufNewFile,BufRead *.mpxl,*.mpiv,*.mpvi let b:mp_metafun = 1 | setf mp
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2006-02-23 21:32:16 +00:00
|
|
|
" MGL
|
|
|
|
au BufNewFile,BufRead *.mgl setf mgl
|
|
|
|
|
2013-11-14 05:48:46 +01:00
|
|
|
" MIX - Knuth assembly
|
|
|
|
au BufNewFile,BufRead *.mix,*.mixal setf mix
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" MMIX or VMS makefile
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.mms call dist#ft#FTmms()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Symbian meta-makefile definition (MMP)
|
|
|
|
au BufNewFile,BufRead *.mmp setf mmp
|
|
|
|
|
2022-04-09 15:16:53 +01:00
|
|
|
" ABB Rapid, Modula-2, Modsim III or LambdaProlog
|
2022-04-13 15:29:21 +01:00
|
|
|
au BufNewFile,BufRead *.mod\c call dist#ft#FTmod()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-04-09 15:16:53 +01:00
|
|
|
" Modula-2 (.md removed in favor of Markdown, see dist#ft#FTmod for *.MOD)
|
|
|
|
au BufNewFile,BufRead *.m2,*.DEF,*.mi setf modula2
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-04-15 14:29:17 +02:00
|
|
|
" Modula-3 (.m3, .i3, .mg, .ig)
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *.[mi][3g] setf modula3
|
|
|
|
|
2022-10-17 13:32:17 +01:00
|
|
|
" Larch/Modula-3
|
|
|
|
au BufNewFile,BufRead *.lm3 setf modula3
|
|
|
|
|
2023-02-07 19:37:32 +00:00
|
|
|
" Modconf
|
|
|
|
au BufNewFile,BufRead */etc/modules.conf,*/etc/modules,*/etc/conf.modules setf modconf
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Monk
|
|
|
|
au BufNewFile,BufRead *.isc,*.monk,*.ssc,*.tsc setf monk
|
|
|
|
|
|
|
|
" MOO
|
|
|
|
au BufNewFile,BufRead *.moo setf moo
|
|
|
|
|
2022-05-25 12:42:08 +01:00
|
|
|
" Moonscript
|
|
|
|
au BufNewFile,BufRead *.moon setf moonscript
|
|
|
|
|
2023-02-07 19:37:32 +00:00
|
|
|
" Move language
|
|
|
|
au BufNewFile,BufRead *.move setf move
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-09-21 19:37:01 +02:00
|
|
|
" MPD is based on XML
|
|
|
|
au BufNewFile,BufRead *.mpd setf xml
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Mplayer config
|
|
|
|
au BufNewFile,BufRead mplayer.conf,*/.mplayer/config setf mplayerconf
|
|
|
|
|
2015-03-21 18:06:14 +01:00
|
|
|
" Motorola S record
|
|
|
|
au BufNewFile,BufRead *.s19,*.s28,*.s37,*.mot,*.srec setf srec
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2006-04-27 21:47:44 +00:00
|
|
|
" Mrxvtrc
|
|
|
|
au BufNewFile,BufRead mrxvtrc,.mrxvtrc setf mrxvtrc
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Msql
|
|
|
|
au BufNewFile,BufRead *.msql setf msql
|
|
|
|
|
|
|
|
" Mysql
|
|
|
|
au BufNewFile,BufRead *.mysql setf mysql
|
|
|
|
|
2021-10-04 20:41:45 +01:00
|
|
|
" Tcl Shell RC file
|
|
|
|
au BufNewFile,BufRead tclsh.rc setf tcl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" M$ Resource files
|
2021-12-03 19:24:41 +00:00
|
|
|
" /etc/Muttrc.d/file.rc is muttrc
|
|
|
|
au BufNewFile,BufRead *.rc,*.rch
|
|
|
|
\ if expand("<afile>") !~ "/etc/Muttrc.d/" |
|
|
|
|
\ setf rc |
|
|
|
|
\ endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2004-07-02 15:38:35 +00:00
|
|
|
" MuPAD source
|
|
|
|
au BufRead,BufNewFile *.mu setf mupad
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Mush
|
|
|
|
au BufNewFile,BufRead *.mush setf mush
|
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Mutt setup file (also for Muttng)
|
2008-06-25 20:13:35 +00:00
|
|
|
au BufNewFile,BufRead Mutt{ng,}rc setf muttrc
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2017-08-11 20:50:04 +02:00
|
|
|
" N1QL
|
|
|
|
au BufRead,BufNewfile *.n1ql,*.nql setf n1ql
|
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Nano
|
2020-06-21 22:12:03 +02:00
|
|
|
au BufNewFile,BufRead */etc/nanorc,*.nanorc setf nanorc
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Nastran input/DMAP
|
|
|
|
"au BufNewFile,BufRead *.dat setf nastran
|
|
|
|
|
|
|
|
" Natural
|
|
|
|
au BufNewFile,BufRead *.NS[ACGLMNPS] setf natural
|
|
|
|
|
2017-11-02 22:58:42 +01:00
|
|
|
" Noemutt setup file
|
|
|
|
au BufNewFile,BufRead Neomuttrc setf neomuttrc
|
|
|
|
|
2004-12-19 22:46:22 +00:00
|
|
|
" Netrc
|
|
|
|
au BufNewFile,BufRead .netrc setf netrc
|
|
|
|
|
2021-10-03 11:46:09 +01:00
|
|
|
" Nginx
|
|
|
|
au BufNewFile,BufRead *.nginx,nginx*.conf,*nginx.conf,*/etc/nginx/*,*/usr/local/nginx/conf/*,*/nginx/*.conf setf nginx
|
|
|
|
|
2022-09-24 11:04:38 +01:00
|
|
|
" Nim file
|
|
|
|
au BufNewFile,BufRead *.nim,*.nims,*.nimble setf nim
|
|
|
|
|
2012-01-10 14:55:01 +01:00
|
|
|
" Ninja file
|
|
|
|
au BufNewFile,BufRead *.ninja setf ninja
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Nix
|
|
|
|
au BufRead,BufNewFile *.nix setf nix
|
|
|
|
|
2020-08-09 19:32:39 +02:00
|
|
|
" NPM RC file
|
|
|
|
au BufNewFile,BufRead npmrc,.npmrc setf dosini
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Novell netware batch files
|
|
|
|
au BufNewFile,BufRead *.ncf setf ncf
|
|
|
|
|
|
|
|
" Nroff/Troff (*.ms and *.t are checked below)
|
|
|
|
au BufNewFile,BufRead *.me
|
|
|
|
\ if expand("<afile>") != "read.me" && expand("<afile>") != "click.me" |
|
|
|
|
\ setf nroff |
|
|
|
|
\ endif
|
|
|
|
au BufNewFile,BufRead *.tr,*.nr,*.roff,*.tmac,*.mom setf nroff
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.[1-9] call dist#ft#FTnroff()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Nroff or Objective C++
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.mm call dist#ft#FTmm()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Not Quite C
|
|
|
|
au BufNewFile,BufRead *.nqc setf nqc
|
|
|
|
|
2017-03-16 17:41:02 +01:00
|
|
|
" NSE - Nmap Script Engine - uses Lua syntax
|
|
|
|
au BufNewFile,BufRead *.nse setf lua
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" NSIS
|
2012-04-25 19:07:41 +02:00
|
|
|
au BufNewFile,BufRead *.nsi,*.nsh setf nsis
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-03-21 21:28:06 +00:00
|
|
|
" Nu
|
|
|
|
au BufNewFile,BufRead {env,config}.nu setf nu
|
|
|
|
|
2022-11-23 22:34:23 +00:00
|
|
|
" Oblivion Language and Oblivion Script Extender
|
|
|
|
au BufNewFile,BufRead *.obl,*.obse,*.oblivion,*.obscript setf obse
|
|
|
|
|
2021-01-01 13:54:00 +01:00
|
|
|
" OCaml
|
|
|
|
au BufNewFile,BufRead *.ml,*.mli,*.mll,*.mly,.ocamlinit,*.mlt,*.mlp,*.mlip,*.mli.cppo,*.ml.cppo setf ocaml
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Occam
|
|
|
|
au BufNewFile,BufRead *.occ setf occam
|
|
|
|
|
2021-09-03 19:21:36 +02:00
|
|
|
" Octave
|
|
|
|
au BufNewFile,BufRead octave.conf,.octaverc,octaverc setf octave
|
|
|
|
|
2023-03-08 20:35:17 +00:00
|
|
|
" Odin
|
|
|
|
au BufNewFile,BufRead *.odin setf odin
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Omnimark
|
|
|
|
au BufNewFile,BufRead *.xom,*.xin setf omnimark
|
|
|
|
|
2021-01-01 13:54:00 +01:00
|
|
|
" OPAM
|
|
|
|
au BufNewFile,BufRead opam,*.opam,*.opam.template setf opam
|
|
|
|
|
2022-01-11 18:14:23 +00:00
|
|
|
" OpenFOAM
|
|
|
|
au BufNewFile,BufRead [a-zA-Z0-9]*Dict\(.*\)\=,[a-zA-Z]*Properties\(.*\)\=,*Transport\(.*\),fvSchemes,fvSolution,fvConstrains,fvModels,*/constant/g,*/0\(\.orig\)\=/* call dist#ft#FTfoam()
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" OpenROAD
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.or setf openroad
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" OPL
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.[Oo][Pp][Ll] setf opl
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-04-16 21:33:54 +01:00
|
|
|
" OpenSCAD
|
2022-09-09 16:10:26 +01:00
|
|
|
au BufNewFile,BufRead *.scad setf openscad
|
2022-04-16 21:33:54 +01:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Oracle config file
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.ora setf ora
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-03-31 20:24:35 +01:00
|
|
|
" Org
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.org,*.org_archive setf org
|
2022-03-31 20:24:35 +01:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Packet filter conf
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead pf.conf setf pf
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-06-03 18:40:53 +01:00
|
|
|
" ini style config files, using # comments
|
|
|
|
au BufNewFile,BufRead */etc/pacman.conf,mpv.conf setf confini
|
2022-10-21 14:34:25 +01:00
|
|
|
au BufNewFile,BufRead */.aws/config,*/.aws/credentials setf confini
|
2023-01-28 18:05:40 +00:00
|
|
|
au BufNewFile,BufRead *.nmconnection setf confini
|
2020-06-25 19:53:24 +02:00
|
|
|
|
|
|
|
" Pacman hooks
|
|
|
|
au BufNewFile,BufRead *.hook
|
|
|
|
\ if getline(1) == '[Trigger]' |
|
2022-04-17 21:15:48 +01:00
|
|
|
\ setf conf |
|
2020-06-25 19:53:24 +02:00
|
|
|
\ endif
|
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Pam conf
|
2020-06-25 19:53:24 +02:00
|
|
|
au BufNewFile,BufRead */etc/pam.conf setf pamconf
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2020-05-07 18:51:27 +02:00
|
|
|
" Pam environment
|
2020-05-11 19:46:36 +02:00
|
|
|
au BufNewFile,BufRead pam_env.conf,.pam_environment setf pamenv
|
2020-05-07 18:51:27 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" PApp
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.papp,*.pxml,*.pxsl setf papp
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Password file
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/passwd,*/etc/passwd-,*/etc/passwd.edit,*/etc/shadow,*/etc/shadow-,*/etc/shadow.edit,*/var/backups/passwd.bak,*/var/backups/shadow.bak setf passwd
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2021-01-24 15:25:56 +01:00
|
|
|
" Pascal (also *.p, *.pp, *.inc)
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.pas setf pascal
|
2021-01-12 17:42:24 +01:00
|
|
|
|
2021-01-24 15:25:56 +01:00
|
|
|
" Pascal or Puppet manifest
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.pp call dist#ft#FTpp()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2020-09-22 19:15:31 +02:00
|
|
|
" Delphi or Lazarus program file
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.dpr,*.lpr setf pascal
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-04-23 18:06:48 +02:00
|
|
|
" Free Pascal makefile definition file
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.fpc setf fpcmake
|
2021-04-23 18:06:48 +02:00
|
|
|
|
2022-10-08 12:20:28 +01:00
|
|
|
" Path of Exile item filter
|
|
|
|
au BufNewFile,BufRead *.filter setf poefilter
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" PDF
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.pdf setf pdf
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2018-11-03 19:52:15 +01:00
|
|
|
" PCMK - HAE - crm configure edit
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.pcmk setf pcmk
|
2017-11-02 22:58:42 +01:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Perl
|
|
|
|
if has("fname_case")
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.pl,*.PL call dist#ft#FTpl()
|
2004-06-13 20:20:40 +00:00
|
|
|
else
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.pl call dist#ft#FTpl()
|
2004-06-13 20:20:40 +00:00
|
|
|
endif
|
2022-06-03 18:40:53 +01:00
|
|
|
au BufNewFile,BufRead *.plx,*.al,*.psgi setf perl
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Perl, XPM or XPM2
|
|
|
|
au BufNewFile,BufRead *.pm
|
|
|
|
\ if getline(1) =~ "XPM2" |
|
|
|
|
\ setf xpm2 |
|
|
|
|
\ elseif getline(1) =~ "XPM" |
|
|
|
|
\ setf xpm |
|
|
|
|
\ else |
|
|
|
|
\ setf perl |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" Perl POD
|
|
|
|
au BufNewFile,BufRead *.pod setf pod
|
|
|
|
|
2006-04-28 22:39:47 +00:00
|
|
|
" Php, php3, php4, etc.
|
2022-04-07 16:01:13 +01:00
|
|
|
" Also Phtml (was used for PHP 2 in the past).
|
|
|
|
" Also .ctp for Cake template file.
|
|
|
|
" Also .phpt for php tests.
|
2022-09-09 16:10:26 +01:00
|
|
|
" Also .theme for Drupal theme files.
|
|
|
|
au BufNewFile,BufRead *.php,*.php\d,*.phtml,*.ctp,*.phpt,*.theme setf php
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2020-08-09 19:32:39 +02:00
|
|
|
" PHP config
|
2020-09-28 21:48:16 +02:00
|
|
|
au BufNewFile,BufRead php.ini-* setf dosini
|
2020-08-09 19:32:39 +02:00
|
|
|
|
2018-01-28 22:47:25 +01:00
|
|
|
" Pike and Cmod
|
|
|
|
au BufNewFile,BufRead *.pike,*.pmod setf pike
|
|
|
|
au BufNewFile,BufRead *.cmod setf cmod
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Pinfo config
|
|
|
|
au BufNewFile,BufRead */etc/pinforc,*/.pinforc setf pinfo
|
|
|
|
|
|
|
|
" Palm Resource compiler
|
|
|
|
au BufNewFile,BufRead *.rcp setf pilrc
|
|
|
|
|
|
|
|
" Pine config
|
|
|
|
au BufNewFile,BufRead .pinerc,pinerc,.pinercex,pinercex setf pine
|
|
|
|
|
2019-04-19 23:33:14 +02:00
|
|
|
" Pipenv Pipfiles
|
2021-10-16 13:44:04 +01:00
|
|
|
au BufNewFile,BufRead Pipfile setf toml
|
2019-04-19 23:33:14 +02:00
|
|
|
au BufNewFile,BufRead Pipfile.lock setf json
|
|
|
|
|
2013-07-24 19:53:36 +02:00
|
|
|
" PL/1, PL/I
|
|
|
|
au BufNewFile,BufRead *.pli,*.pl1 setf pli
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" PL/M (also: *.inp)
|
|
|
|
au BufNewFile,BufRead *.plm,*.p36,*.pac setf plm
|
|
|
|
|
|
|
|
" PL/SQL
|
|
|
|
au BufNewFile,BufRead *.pls,*.plsql setf plsql
|
|
|
|
|
|
|
|
" PLP
|
|
|
|
au BufNewFile,BufRead *.plp setf plp
|
|
|
|
|
|
|
|
" PO and PO template (GNU gettext)
|
|
|
|
au BufNewFile,BufRead *.po,*.pot setf po
|
|
|
|
|
2023-03-17 18:42:58 +00:00
|
|
|
" Pony
|
|
|
|
au BufNewFile,BufRead *.pony setf pony
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Postfix main config
|
2022-12-27 20:17:19 +00:00
|
|
|
au BufNewFile,BufRead main.cf,main.cf.proto setf pfmain
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" PostScript (+ font files, encapsulated PostScript, Adobe Illustrator)
|
|
|
|
au BufNewFile,BufRead *.ps,*.pfa,*.afm,*.eps,*.epsf,*.epsi,*.ai setf postscr
|
|
|
|
|
|
|
|
" PostScript Printer Description
|
|
|
|
au BufNewFile,BufRead *.ppd setf ppd
|
|
|
|
|
|
|
|
" Povray
|
|
|
|
au BufNewFile,BufRead *.pov setf pov
|
|
|
|
|
|
|
|
" Povray configuration
|
|
|
|
au BufNewFile,BufRead .povrayrc setf povini
|
|
|
|
|
2021-01-24 15:25:56 +01:00
|
|
|
" Povray, Pascal, PHP or assembly
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.inc call dist#ft#FTinc()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-04-02 13:00:15 +02:00
|
|
|
" PowerShell
|
|
|
|
au BufNewFile,BufRead *.ps1,*.psd1,*.psm1,*.pssc setf ps1
|
|
|
|
au BufNewFile,BufRead *.ps1xml setf ps1xml
|
|
|
|
au BufNewFile,BufRead *.cdxml,*.psc1 setf xml
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Printcap and Termcap
|
|
|
|
au BufNewFile,BufRead *printcap
|
|
|
|
\ let b:ptcap_type = "print" | setf ptcap
|
|
|
|
au BufNewFile,BufRead *termcap
|
|
|
|
\ let b:ptcap_type = "term" | setf ptcap
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Prisma
|
|
|
|
au BufRead,BufNewFile *.prisma setf prisma
|
|
|
|
|
2021-01-24 15:25:56 +01:00
|
|
|
" PCCTS / ANTLR
|
|
|
|
"au BufNewFile,BufRead *.g setf antlr
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *.g setf pccts
|
|
|
|
|
|
|
|
" PPWizard
|
|
|
|
au BufNewFile,BufRead *.it,*.ih setf ppwiz
|
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Pug
|
|
|
|
au BufRead,BufNewFile *.pug setf pug
|
|
|
|
|
2021-01-24 15:25:56 +01:00
|
|
|
" Puppet
|
|
|
|
au BufNewFile,BufRead Puppetfile setf ruby
|
|
|
|
|
|
|
|
" Embedded Puppet
|
|
|
|
au BufNewFile,BufRead *.epp setf epuppet
|
|
|
|
|
2010-05-14 23:24:24 +02:00
|
|
|
" Obj 3D file format
|
|
|
|
" TODO: is there a way to avoid MS-Windows Object files?
|
2011-03-22 14:05:35 +01:00
|
|
|
au BufNewFile,BufRead *.obj setf obj
|
2010-05-14 23:24:24 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Oracle Pro*C/C++
|
2007-05-15 07:12:25 +00:00
|
|
|
au BufNewFile,BufRead *.pc setf proc
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Privoxy actions file
|
|
|
|
au BufNewFile,BufRead *.action setf privoxy
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Procmail
|
|
|
|
au BufNewFile,BufRead .procmail,.procmailrc setf procmail
|
|
|
|
|
|
|
|
" Progress or CWEB
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.w call dist#ft#FTprogress_cweb()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Progress or assembly
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.i call dist#ft#FTprogress_asm()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Progress or Pascal
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.p call dist#ft#FTprogress_pascal()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Software Distributor Product Specification File (POSIX 1387.2-1995)
|
|
|
|
au BufNewFile,BufRead *.psf setf psf
|
|
|
|
au BufNewFile,BufRead INDEX,INFO
|
|
|
|
\ if getline(1) =~ '^\s*\(distribution\|installed_software\|root\|bundle\|product\)\s*$' |
|
|
|
|
\ setf psf |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" Prolog
|
|
|
|
au BufNewFile,BufRead *.pdb setf prolog
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Promela
|
|
|
|
au BufNewFile,BufRead *.pml setf promela
|
|
|
|
|
2021-04-17 14:06:39 +02:00
|
|
|
" Property Specification Language (PSL)
|
|
|
|
au BufNewFile,BufRead *.psl setf psl
|
|
|
|
|
2013-06-12 21:29:15 +02:00
|
|
|
" Google protocol buffers
|
|
|
|
au BufNewFile,BufRead *.proto setf proto
|
2020-11-18 12:12:39 +01:00
|
|
|
au BufNewFile,BufRead *.pbtxt setf pbtxt
|
2013-06-12 21:29:15 +02:00
|
|
|
|
2021-03-12 22:16:41 +01:00
|
|
|
" Poke
|
2021-04-17 14:06:39 +02:00
|
|
|
au BufNewFile,BufRead *.pk setf poke
|
2021-03-12 22:16:41 +01:00
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Protocols
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/protocols setf protocols
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2021-08-25 17:10:39 +02:00
|
|
|
" Pyret
|
|
|
|
au BufNewFile,BufRead *.arr setf pyret
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Pyrex
|
|
|
|
au BufNewFile,BufRead *.pyx,*.pxd setf pyrex
|
|
|
|
|
2018-10-19 16:27:31 +02:00
|
|
|
" Python, Python Shell Startup and Python Stub Files
|
2008-07-18 10:54:50 +00:00
|
|
|
" Quixote (Python-based web framework)
|
2020-06-15 20:24:58 +02:00
|
|
|
au BufNewFile,BufRead *.py,*.pyw,.pythonstartup,.pythonrc setf python
|
|
|
|
au BufNewFile,BufRead *.ptl,*.pyi,SConstruct setf python
|
2008-07-18 10:54:50 +00:00
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" QL
|
|
|
|
au BufRead,BufNewFile *.ql,*.qll setf ql
|
|
|
|
|
2023-03-16 19:30:44 +00:00
|
|
|
" QMLdir
|
|
|
|
au BufRead,BufNewFile qmldir setf qmldir
|
|
|
|
|
2022-08-10 11:48:10 +01:00
|
|
|
" Quarto
|
2023-02-27 14:32:08 +00:00
|
|
|
au BufRead,BufNewFile *.qmd setf quarto
|
2022-08-10 11:48:10 +01:00
|
|
|
|
2023-03-16 20:13:29 +00:00
|
|
|
" Racket (formerly detected as "scheme")
|
|
|
|
au BufNewFile,BufRead *.rkt,*.rktd,*.rktl setf racket
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Radiance
|
|
|
|
au BufNewFile,BufRead *.rad,*.mat setf radiance
|
|
|
|
|
2021-09-09 21:55:11 +02:00
|
|
|
" Raku (formerly Perl6)
|
2021-04-20 20:21:23 +02:00
|
|
|
au BufNewFile,BufRead *.pm6,*.p6,*.t6,*.pod6,*.raku,*.rakumod,*.rakudoc,*.rakutest setf raku
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Ratpoison config/command files
|
|
|
|
au BufNewFile,BufRead .ratpoisonrc,ratpoisonrc setf ratpoison
|
|
|
|
|
|
|
|
" RCS file
|
|
|
|
au BufNewFile,BufRead *\,v setf rcs
|
|
|
|
|
|
|
|
" Readline
|
2005-04-15 21:13:42 +00:00
|
|
|
au BufNewFile,BufRead .inputrc,inputrc setf readline
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Registry for MS-Windows
|
|
|
|
au BufNewFile,BufRead *.reg
|
|
|
|
\ if getline(1) =~? '^REGEDIT[0-9]*\s*$\|^Windows Registry Editor Version \d*\.\d*\s*$' | setf registry | endif
|
|
|
|
|
|
|
|
" Renderman Interface Bytestream
|
|
|
|
au BufNewFile,BufRead *.rib setf rib
|
|
|
|
|
2019-12-19 15:57:42 +01:00
|
|
|
" Rego Policy Language
|
|
|
|
au BufNewFile,BufRead *.rego setf rego
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Rexx
|
2012-10-21 03:02:54 +02:00
|
|
|
au BufNewFile,BufRead *.rex,*.orx,*.rxo,*.rxj,*.jrexx,*.rexxj,*.rexx,*.testGroup,*.testUnit setf rexx
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2006-03-01 22:09:21 +00:00
|
|
|
" R Help file
|
2006-04-18 21:55:01 +00:00
|
|
|
if has("fname_case")
|
|
|
|
au BufNewFile,BufRead *.rd,*.Rd setf rhelp
|
|
|
|
else
|
|
|
|
au BufNewFile,BufRead *.rd setf rhelp
|
|
|
|
endif
|
|
|
|
|
|
|
|
" R noweb file
|
|
|
|
if has("fname_case")
|
|
|
|
au BufNewFile,BufRead *.Rnw,*.rnw,*.Snw,*.snw setf rnoweb
|
|
|
|
else
|
|
|
|
au BufNewFile,BufRead *.rnw,*.snw setf rnoweb
|
|
|
|
endif
|
2006-03-01 22:09:21 +00:00
|
|
|
|
2014-07-10 22:01:47 +02:00
|
|
|
" R Markdown file
|
|
|
|
if has("fname_case")
|
|
|
|
au BufNewFile,BufRead *.Rmd,*.rmd,*.Smd,*.smd setf rmd
|
|
|
|
else
|
|
|
|
au BufNewFile,BufRead *.rmd,*.smd setf rmd
|
|
|
|
endif
|
|
|
|
|
2022-10-14 20:24:24 +01:00
|
|
|
" R profile file
|
|
|
|
au BufNewFile,BufRead .Rprofile,Rprofile,Rprofile.site setf r
|
|
|
|
|
2021-03-19 20:27:40 +01:00
|
|
|
" RSS looks like XML
|
|
|
|
au BufNewFile,BufRead *.rss setf xml
|
|
|
|
|
2014-07-10 22:01:47 +02:00
|
|
|
" R reStructuredText file
|
|
|
|
if has("fname_case")
|
|
|
|
au BufNewFile,BufRead *.Rrst,*.rrst,*.Srst,*.srst setf rrst
|
|
|
|
else
|
|
|
|
au BufNewFile,BufRead *.rrst,*.srst setf rrst
|
|
|
|
endif
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Rexx, Rebol or R
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.r,*.R call dist#ft#FTr()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Remind
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead .reminders,*.remind,*.rem setf remind
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-02-12 10:33:56 +00:00
|
|
|
" ReScript
|
|
|
|
au BufNewFile,BufRead *.res,*.resi setf rescript
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Resolv.conf
|
|
|
|
au BufNewFile,BufRead resolv.conf setf resolv
|
|
|
|
|
|
|
|
" Relax NG Compact
|
|
|
|
au BufNewFile,BufRead *.rnc setf rnc
|
|
|
|
|
2013-04-05 22:26:15 +02:00
|
|
|
" Relax NG XML
|
|
|
|
au BufNewFile,BufRead *.rng setf rng
|
|
|
|
|
2023-03-16 12:26:51 +00:00
|
|
|
" ILE RPG
|
|
|
|
au BufNewFile,BufRead *.rpgle,*.rpgleinc setf rpgle
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" RPL/2
|
|
|
|
au BufNewFile,BufRead *.rpl setf rpl
|
|
|
|
|
2022-05-02 10:29:31 +01:00
|
|
|
" Robot Framework
|
|
|
|
au BufNewFile,BufRead *.robot,*.resource setf robot
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Robots.txt
|
|
|
|
au BufNewFile,BufRead robots.txt setf robots
|
|
|
|
|
2023-02-05 14:47:46 +00:00
|
|
|
" RON (Rusty Object Notation)
|
|
|
|
au BufNewFile,BufRead *.ron setf ron
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-11-15 21:44:11 +00:00
|
|
|
" MikroTik RouterOS script
|
|
|
|
au BufRead,BufNewFile *.rsc setf routeros
|
|
|
|
|
2023-02-05 14:47:46 +00:00
|
|
|
" Rpcgen
|
|
|
|
au BufNewFile,BufRead *.x setf rpcgen
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" reStructuredText Documentation Format
|
|
|
|
au BufNewFile,BufRead *.rst setf rst
|
|
|
|
|
|
|
|
" RTF
|
|
|
|
au BufNewFile,BufRead *.rtf setf rtf
|
|
|
|
|
2008-07-18 10:54:50 +00:00
|
|
|
" Interactive Ruby shell
|
|
|
|
au BufNewFile,BufRead .irbrc,irbrc setf ruby
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Ruby
|
2011-01-08 16:06:37 +01:00
|
|
|
au BufNewFile,BufRead *.rb,*.rbw setf ruby
|
|
|
|
|
|
|
|
" RubyGems
|
|
|
|
au BufNewFile,BufRead *.gemspec setf ruby
|
|
|
|
|
2021-01-24 15:25:56 +01:00
|
|
|
" RBS (Ruby Signature)
|
|
|
|
au BufNewFile,BufRead *.rbs setf rbs
|
2016-10-30 21:55:26 +01:00
|
|
|
|
2011-01-08 16:06:37 +01:00
|
|
|
" Rackup
|
|
|
|
au BufNewFile,BufRead *.ru setf ruby
|
|
|
|
|
|
|
|
" Bundler
|
|
|
|
au BufNewFile,BufRead Gemfile setf ruby
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2007-05-10 18:44:18 +00:00
|
|
|
" Ruby on Rails
|
|
|
|
au BufNewFile,BufRead *.builder,*.rxml,*.rjs setf ruby
|
|
|
|
|
|
|
|
" Rantfile and Rakefile is like Ruby
|
|
|
|
au BufNewFile,BufRead [rR]antfile,*.rant,[rR]akefile,*.rake setf ruby
|
2005-11-23 21:25:05 +00:00
|
|
|
|
2021-01-24 15:25:56 +01:00
|
|
|
" Rust
|
|
|
|
au BufNewFile,BufRead *.rs setf rust
|
2021-10-16 13:44:04 +01:00
|
|
|
au BufNewFile,BufRead Cargo.lock,*/.cargo/config,*/.cargo/credentials setf toml
|
2021-01-24 15:25:56 +01:00
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" S-lang (or shader language, or SmallLisp)
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *.sl setf slang
|
|
|
|
|
2023-03-21 21:31:55 +00:00
|
|
|
" Sage
|
|
|
|
au BufNewFile,BufRead *.sage setf sage
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Samba config
|
|
|
|
au BufNewFile,BufRead smb.conf setf samba
|
|
|
|
|
|
|
|
" SAS script
|
|
|
|
au BufNewFile,BufRead *.sas setf sas
|
|
|
|
|
2008-07-13 17:41:49 +00:00
|
|
|
" Sass
|
|
|
|
au BufNewFile,BufRead *.sass setf sass
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Sather
|
|
|
|
au BufNewFile,BufRead *.sa setf sather
|
|
|
|
|
2016-08-26 19:52:37 +02:00
|
|
|
" Scala
|
2022-04-13 15:29:21 +01:00
|
|
|
au BufNewFile,BufRead *.scala setf scala
|
2016-08-26 19:52:37 +02:00
|
|
|
|
2017-05-27 16:45:17 +02:00
|
|
|
" SBT - Scala Build Tool
|
|
|
|
au BufNewFile,BufRead *.sbt setf sbt
|
|
|
|
|
2022-04-13 15:29:21 +01:00
|
|
|
" SuperCollider
|
|
|
|
au BufNewFile,BufRead *.sc call dist#ft#FTsc()
|
|
|
|
|
|
|
|
au BufNewFile,BufRead *.quark setf supercollider
|
|
|
|
|
|
|
|
" scdoc
|
|
|
|
au BufNewFile,BufRead *.scd call dist#ft#FTscd()
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Scilab
|
2006-03-26 21:06:50 +00:00
|
|
|
au BufNewFile,BufRead *.sci,*.sce setf scilab
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2021-08-04 20:00:27 +02:00
|
|
|
|
2010-07-26 22:21:27 +02:00
|
|
|
" SCSS
|
2011-03-22 14:05:35 +01:00
|
|
|
au BufNewFile,BufRead *.scss setf scss
|
2010-07-26 22:21:27 +02:00
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" SD: Streaming Descriptors
|
|
|
|
au BufNewFile,BufRead *.sd setf sd
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" SDL
|
|
|
|
au BufNewFile,BufRead *.sdl,*.pr setf sdl
|
|
|
|
|
|
|
|
" sed
|
|
|
|
au BufNewFile,BufRead *.sed setf sed
|
|
|
|
|
2022-09-12 12:43:23 +01:00
|
|
|
" SubRip
|
|
|
|
au BufNewFile,BufRead *.srt setf srt
|
|
|
|
|
2022-10-10 12:08:59 +01:00
|
|
|
" SubStation Alpha
|
|
|
|
au BufNewFile,BufRead *.ass,*.ssa setf ssa
|
|
|
|
|
2021-02-17 12:29:17 +01:00
|
|
|
" svelte
|
|
|
|
au BufNewFile,BufRead *.svelte setf svelte
|
|
|
|
|
2018-10-19 16:27:31 +02:00
|
|
|
" Sieve (RFC 3028, 5228)
|
|
|
|
au BufNewFile,BufRead *.siv,*.sieve setf sieve
|
2004-12-09 21:34:53 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Sendmail
|
|
|
|
au BufNewFile,BufRead sendmail.cf setf sm
|
|
|
|
|
2022-04-19 19:38:38 +01:00
|
|
|
" Sendmail .mc files are actually m4. Could also be MS Message text file or
|
|
|
|
" Maxima.
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.mc call dist#ft#McSetf()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Services
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/services setf services
|
2005-07-04 22:49:24 +00:00
|
|
|
|
|
|
|
" Service Location config
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/slp.conf setf slpconf
|
2005-07-04 22:49:24 +00:00
|
|
|
|
|
|
|
" Service Location registration
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/slp.reg setf slpreg
|
2005-07-04 22:49:24 +00:00
|
|
|
|
|
|
|
" Service Location SPI
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/slp.spi setf slpspi
|
2005-07-04 22:49:24 +00:00
|
|
|
|
|
|
|
" Setserial config
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/serial.conf setf setserial
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" SGML
|
|
|
|
au BufNewFile,BufRead *.sgm,*.sgml
|
|
|
|
\ if getline(1).getline(2).getline(3).getline(4).getline(5) =~? 'linuxdoc' |
|
|
|
|
\ setf sgmllnx |
|
|
|
|
\ elseif getline(1) =~ '<!DOCTYPE.*DocBook' || getline(2) =~ '<!DOCTYPE.*DocBook' |
|
2012-11-28 18:21:11 +01:00
|
|
|
\ let b:docbk_type = "sgml" |
|
|
|
|
\ let b:docbk_ver = 4 |
|
2004-06-13 20:20:40 +00:00
|
|
|
\ setf docbk |
|
|
|
|
\ else |
|
|
|
|
\ setf sgml |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" SGMLDECL
|
|
|
|
au BufNewFile,BufRead *.decl,*.dcl,*.dec
|
|
|
|
\ if getline(1).getline(2).getline(3) =~? '^<!SGML' |
|
|
|
|
\ setf sgmldecl |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" SGML catalog file
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead catalog setf catalog
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Shell scripts (sh, ksh, bash, bash2, csh); Allow .profile_foo etc.
|
2020-10-26 21:12:46 +01:00
|
|
|
" Gentoo ebuilds, Arch Linux PKGBUILDs and Alpine Linux APKBUILDs are actually
|
|
|
|
" bash scripts.
|
2019-01-18 21:46:47 +01:00
|
|
|
" NOTE: Patterns ending in a star are further down, these have lower priority.
|
2021-04-05 13:10:43 +02:00
|
|
|
au BufNewFile,BufRead .bashrc,bashrc,bash.bashrc,.bash[_-]profile,.bash[_-]logout,.bash[_-]aliases,bash-fc[-.],*.ebuild,*.bash,*.eclass,PKGBUILD,APKBUILD call dist#ft#SetFileTypeSH("bash")
|
2019-01-18 21:46:47 +01:00
|
|
|
au BufNewFile,BufRead .kshrc,*.ksh call dist#ft#SetFileTypeSH("ksh")
|
|
|
|
au BufNewFile,BufRead */etc/profile,.profile,*.sh,*.env call dist#ft#SetFileTypeSH(getline(1))
|
|
|
|
|
2016-04-30 15:13:38 +02:00
|
|
|
" Shell script (Arch Linux) or PHP file (Drupal)
|
|
|
|
au BufNewFile,BufRead *.install
|
|
|
|
\ if getline(1) =~ '<?php' |
|
|
|
|
\ setf php |
|
|
|
|
\ else |
|
2017-11-11 15:37:45 +01:00
|
|
|
\ call dist#ft#SetFileTypeSH("bash") |
|
2016-04-30 15:13:38 +02:00
|
|
|
\ endif
|
|
|
|
|
2019-01-18 23:14:43 +01:00
|
|
|
" tcsh scripts (patterns ending in a star further below)
|
2019-01-18 21:46:47 +01:00
|
|
|
au BufNewFile,BufRead .tcshrc,*.tcsh,tcsh.tcshrc,tcsh.login call dist#ft#SetFileTypeShell("tcsh")
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" csh scripts, but might also be tcsh scripts (on some systems csh is tcsh)
|
2019-01-18 23:14:43 +01:00
|
|
|
" (patterns ending in a start further below)
|
2019-01-18 21:46:47 +01:00
|
|
|
au BufNewFile,BufRead .login,.cshrc,csh.cshrc,csh.login,csh.logout,*.csh,.alias call dist#ft#CSH()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-12-05 21:40:39 +00:00
|
|
|
" Zig and Zir (Zig Intermediate Representation)
|
2021-12-09 20:54:21 +00:00
|
|
|
au BufNewFile,BufRead *.zig setf zig
|
2022-12-05 21:40:39 +00:00
|
|
|
au BufNewFile,BufRead *.zir setf zir
|
2021-12-09 20:54:21 +00:00
|
|
|
|
2019-01-18 23:14:43 +01:00
|
|
|
" Z-Shell script (patterns ending in a star further below)
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead .zprofile,*/etc/zprofile,.zfbfmarks setf zsh
|
2019-01-18 23:14:43 +01:00
|
|
|
au BufNewFile,BufRead .zshrc,.zshenv,.zlogin,.zlogout,.zcompdump setf zsh
|
2011-03-22 14:05:35 +01:00
|
|
|
au BufNewFile,BufRead *.zsh setf zsh
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-03-16 20:13:29 +00:00
|
|
|
" Scheme ("racket" patterns are now separate, see above)
|
|
|
|
au BufNewFile,BufRead *.scm,*.ss,*.sld setf scheme
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Screen RC
|
|
|
|
au BufNewFile,BufRead .screenrc,screenrc setf screen
|
|
|
|
|
2021-01-01 13:54:00 +01:00
|
|
|
" Sexplib
|
|
|
|
au BufNewFile,BufRead *.sexp setf sexplib
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Simula
|
|
|
|
au BufNewFile,BufRead *.sim setf simula
|
|
|
|
|
|
|
|
" SINDA
|
|
|
|
au BufNewFile,BufRead *.sin,*.s85 setf sinda
|
|
|
|
|
2006-04-05 20:41:53 +00:00
|
|
|
" SiSU
|
2006-05-02 22:08:30 +00:00
|
|
|
au BufNewFile,BufRead *.sst,*.ssm,*.ssi,*.-sst,*._sst setf sisu
|
2006-04-05 20:41:53 +00:00
|
|
|
au BufNewFile,BufRead *.sst.meta,*.-sst.meta,*._sst.meta setf sisu
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" SKILL
|
2005-06-17 22:00:15 +00:00
|
|
|
au BufNewFile,BufRead *.il,*.ils,*.cdf setf skill
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-02-05 13:01:40 +00:00
|
|
|
" Cadence
|
|
|
|
au BufNewFile,BufRead *.cdc setf cdc
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" SLRN
|
|
|
|
au BufNewFile,BufRead .slrnrc setf slrnrc
|
|
|
|
au BufNewFile,BufRead *.score setf slrnsc
|
|
|
|
|
2023-01-10 19:58:35 +00:00
|
|
|
" Smali
|
|
|
|
au BufNewFile,BufRead *.smali setf smali
|
|
|
|
|
2022-06-29 14:39:12 +01:00
|
|
|
" Smalltalk
|
2005-05-18 22:24:46 +00:00
|
|
|
au BufNewFile,BufRead *.st setf st
|
2022-06-29 14:39:12 +01:00
|
|
|
|
|
|
|
" Smalltalk (and Rexx, TeX, and Visual Basic)
|
2022-09-01 15:01:25 +01:00
|
|
|
au BufNewFile,BufRead *.cls call dist#ft#FTcls()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Smarty templates
|
|
|
|
au BufNewFile,BufRead *.tpl setf smarty
|
|
|
|
|
|
|
|
" SMIL or XML
|
|
|
|
au BufNewFile,BufRead *.smil
|
|
|
|
\ if getline(1) =~ '<?\s*xml.*?>' |
|
|
|
|
\ setf xml |
|
|
|
|
\ else |
|
|
|
|
\ setf smil |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" SMIL or SNMP MIB file
|
|
|
|
au BufNewFile,BufRead *.smi
|
|
|
|
\ if getline(1) =~ '\<smil\>' |
|
|
|
|
\ setf smil |
|
|
|
|
\ else |
|
|
|
|
\ setf mib |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" SMITH
|
|
|
|
au BufNewFile,BufRead *.smt,*.smith setf smith
|
|
|
|
|
2023-01-11 12:20:10 +00:00
|
|
|
" Smithy
|
|
|
|
au BufNewFile,BufRead *.smithy setf smithy
|
|
|
|
|
2006-05-02 22:08:30 +00:00
|
|
|
" Snobol4 and spitbol
|
|
|
|
au BufNewFile,BufRead *.sno,*.spt setf snobol4
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" SNMP MIB files
|
|
|
|
au BufNewFile,BufRead *.mib,*.my setf mib
|
|
|
|
|
|
|
|
" Snort Configuration
|
2007-05-10 18:44:18 +00:00
|
|
|
au BufNewFile,BufRead *.hog,snort.conf,vision.conf setf hog
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.rules call dist#ft#FTRules()
|
2007-05-10 18:44:18 +00:00
|
|
|
|
2022-02-18 13:45:55 +00:00
|
|
|
" Solidity
|
|
|
|
au BufRead,BufNewFile *.sol setf solidity
|
|
|
|
|
2021-01-15 13:35:30 +01:00
|
|
|
" SPARQL queries
|
|
|
|
au BufNewFile,BufRead *.rq,*.sparql setf sparql
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Spec (Linux RPM)
|
|
|
|
au BufNewFile,BufRead *.spec setf spec
|
|
|
|
|
|
|
|
" Speedup (AspenTech plant simulator)
|
|
|
|
au BufNewFile,BufRead *.speedup,*.spdata,*.spd setf spup
|
|
|
|
|
|
|
|
" Slice
|
|
|
|
au BufNewFile,BufRead *.ice setf slice
|
|
|
|
|
2021-12-15 13:30:43 +00:00
|
|
|
" Microsoft Visual Studio Solution
|
|
|
|
au BufNewFile,BufRead *.sln setf solution
|
2021-12-24 11:33:56 +00:00
|
|
|
au BufNewFile,BufRead *.slnf setf json
|
2021-12-15 13:30:43 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Spice
|
|
|
|
au BufNewFile,BufRead *.sp,*.spice setf spice
|
|
|
|
|
|
|
|
" Spyce
|
|
|
|
au BufNewFile,BufRead *.spy,*.spi setf spyce
|
|
|
|
|
|
|
|
" Squid
|
|
|
|
au BufNewFile,BufRead squid.conf setf squid
|
|
|
|
|
2004-09-02 19:12:26 +00:00
|
|
|
" SQL for Oracle Designer
|
|
|
|
au BufNewFile,BufRead *.tyb,*.typ,*.tyc,*.pkb,*.pks setf sql
|
|
|
|
|
|
|
|
" SQL
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.sql call dist#ft#SQL()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" SQLJ
|
|
|
|
au BufNewFile,BufRead *.sqlj setf sqlj
|
|
|
|
|
2023-02-18 12:04:37 +00:00
|
|
|
" PRQL
|
|
|
|
au BufNewFile,BufRead *.prql setf prql
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" SQR
|
|
|
|
au BufNewFile,BufRead *.sqr,*.sqi setf sqr
|
|
|
|
|
2021-12-03 17:44:26 +00:00
|
|
|
" Squirrel
|
|
|
|
au BufNewFile,BufRead *.nut setf squirrel
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" OpenSSH configuration
|
2022-01-03 17:32:46 +00:00
|
|
|
au BufNewFile,BufRead ssh_config,*/.ssh/config,*/.ssh/*.conf setf sshconfig
|
|
|
|
au BufNewFile,BufRead */etc/ssh/ssh_config.d/*.conf setf sshconfig
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" OpenSSH server configuration
|
2020-06-07 20:07:43 +02:00
|
|
|
au BufNewFile,BufRead sshd_config setf sshdconfig
|
|
|
|
au BufNewFile,BufRead */etc/ssh/sshd_config.d/*.conf setf sshdconfig
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2023-02-23 15:38:49 +00:00
|
|
|
" Starlark
|
|
|
|
au BufNewFile,BufRead *.ipd,*.star,*.starlark setf starlark
|
|
|
|
|
2022-10-17 15:28:47 +01:00
|
|
|
" OpenVPN configuration
|
|
|
|
au BufNewFile,BufRead *.ovpn setf openvpn
|
|
|
|
au BufNewFile,BufRead */openvpn/*/*.conf setf openvpn
|
|
|
|
|
2006-04-15 20:25:09 +00:00
|
|
|
" Stata
|
2017-04-23 18:40:21 +02:00
|
|
|
au BufNewFile,BufRead *.ado,*.do,*.imata,*.mata setf stata
|
|
|
|
" Also *.class, but not when it's a Java bytecode file
|
|
|
|
au BufNewFile,BufRead *.class
|
|
|
|
\ if getline(1) !~ "^\xca\xfe\xba\xbe" | setf stata | endif
|
2006-04-15 20:25:09 +00:00
|
|
|
|
|
|
|
" SMCL
|
|
|
|
au BufNewFile,BufRead *.hlp,*.ihlp,*.smcl setf smcl
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Stored Procedures
|
|
|
|
au BufNewFile,BufRead *.stp setf stp
|
|
|
|
|
|
|
|
" Standard ML
|
|
|
|
au BufNewFile,BufRead *.sml setf sml
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Sratus VOS command macro
|
|
|
|
au BufNewFile,BufRead *.cm setf voscm
|
|
|
|
|
2020-01-22 22:10:54 +01:00
|
|
|
" Swift
|
|
|
|
au BufNewFile,BufRead *.swift setf swift
|
2020-06-21 22:12:03 +02:00
|
|
|
au BufNewFile,BufRead *.swift.gyb setf swiftgyb
|
2020-01-22 22:10:54 +01:00
|
|
|
|
2022-09-01 15:01:25 +01:00
|
|
|
" Swift Intermediate Language or SILE
|
|
|
|
au BufNewFile,BufRead *.sil call dist#ft#FTsil()
|
2020-01-22 22:10:54 +01:00
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Sysctl
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/sysctl.conf,*/etc/sysctl.d/*.conf setf sysctl
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2015-10-13 23:21:27 +02:00
|
|
|
" Systemd unit files
|
2020-06-23 21:01:38 +02:00
|
|
|
au BufNewFile,BufRead */systemd/*.{automount,dnssd,link,mount,netdev,network,nspawn,path,service,slice,socket,swap,target,timer} setf systemd
|
2019-03-24 15:09:13 +01:00
|
|
|
" Systemd overrides
|
2020-06-23 21:01:38 +02:00
|
|
|
au BufNewFile,BufRead */etc/systemd/*.conf.d/*.conf setf systemd
|
2020-04-12 12:54:52 +02:00
|
|
|
au BufNewFile,BufRead */etc/systemd/system/*.d/*.conf setf systemd
|
|
|
|
au BufNewFile,BufRead */.config/systemd/user/*.d/*.conf setf systemd
|
2019-03-24 15:09:13 +01:00
|
|
|
" Systemd temp files
|
2020-04-12 12:54:52 +02:00
|
|
|
au BufNewFile,BufRead */etc/systemd/system/*.d/.#* setf systemd
|
2020-04-29 23:02:40 +02:00
|
|
|
au BufNewFile,BufRead */etc/systemd/system/.#* setf systemd
|
2020-04-12 12:54:52 +02:00
|
|
|
au BufNewFile,BufRead */.config/systemd/user/*.d/.#* setf systemd
|
2020-04-29 23:02:40 +02:00
|
|
|
au BufNewFile,BufRead */.config/systemd/user/.#* setf systemd
|
2015-10-13 23:21:27 +02:00
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" Synopsys Design Constraints
|
|
|
|
au BufNewFile,BufRead *.sdc setf sdc
|
|
|
|
|
2004-12-19 22:46:22 +00:00
|
|
|
" Sudoers
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/sudoers,sudoers.tmp setf sudoers
|
2004-12-19 22:46:22 +00:00
|
|
|
|
2010-05-14 23:24:24 +02:00
|
|
|
" SVG (Scalable Vector Graphics)
|
|
|
|
au BufNewFile,BufRead *.svg setf svg
|
|
|
|
|
2022-04-11 11:37:13 +01:00
|
|
|
" Surface
|
|
|
|
au BufRead,BufNewFile *.sface setf surface
|
|
|
|
|
2023-03-16 15:54:18 +00:00
|
|
|
" LLVM TableGen
|
|
|
|
au BufNewFile,BufRead *.td setf tablegen
|
|
|
|
|
2005-11-23 21:25:05 +00:00
|
|
|
" Tads (or Nroff or Perl test file)
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *.t
|
2017-11-11 15:37:45 +01:00
|
|
|
\ if !dist#ft#FTnroff() && !dist#ft#FTperl() | setf tads | endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Tags
|
|
|
|
au BufNewFile,BufRead tags setf tags
|
|
|
|
|
|
|
|
" TAK
|
|
|
|
au BufNewFile,BufRead *.tak setf tak
|
|
|
|
|
2023-03-08 19:55:50 +00:00
|
|
|
" Unx Tal
|
|
|
|
au BufNewFile,BufRead *.tal setf tal
|
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" Task
|
|
|
|
au BufRead,BufNewFile {pending,completed,undo}.data setf taskdata
|
2013-09-22 14:42:24 +02:00
|
|
|
au BufRead,BufNewFile *.task setf taskedit
|
2010-01-06 20:54:52 +01:00
|
|
|
|
2005-11-23 21:25:05 +00:00
|
|
|
" Tcl (JACL too)
|
2021-10-04 20:41:45 +01:00
|
|
|
au BufNewFile,BufRead *.tcl,*.tm,*.tk,*.itcl,*.itk,*.jacl,.tclshrc,.wishrc setf tcl
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" Teal
|
|
|
|
au BufRead,BufNewFile *.tl setf teal
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" TealInfo
|
|
|
|
au BufNewFile,BufRead *.tli setf tli
|
|
|
|
|
|
|
|
" Telix Salt
|
|
|
|
au BufNewFile,BufRead *.slt setf tsalt
|
|
|
|
|
2021-01-21 14:45:13 +01:00
|
|
|
" Tera Term Language or Turtle
|
|
|
|
au BufRead,BufNewFile *.ttl
|
|
|
|
\ if getline(1) =~ '^@\?\(prefix\|base\)' |
|
|
|
|
\ setf turtle |
|
|
|
|
\ else |
|
|
|
|
\ setf teraterm |
|
|
|
|
\ endif
|
2015-07-21 19:19:13 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Terminfo
|
|
|
|
au BufNewFile,BufRead *.ti setf terminfo
|
|
|
|
|
2022-07-26 19:18:28 +01:00
|
|
|
" Terraform variables
|
|
|
|
au BufRead,BufNewFile *.tfvars setf terraform-vars
|
2022-01-23 17:09:05 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" TeX
|
2005-06-30 22:04:15 +00:00
|
|
|
au BufNewFile,BufRead *.latex,*.sty,*.dtx,*.ltx,*.bbl setf tex
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.tex call dist#ft#FTtex()
|
2005-06-30 22:04:15 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" ConTeXt
|
2020-11-06 18:52:55 +01:00
|
|
|
au BufNewFile,BufRead *.mkii,*.mkiv,*.mkvi,*.mkxl,*.mklx setf context
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Texinfo
|
|
|
|
au BufNewFile,BufRead *.texinfo,*.texi,*.txi setf texinfo
|
|
|
|
|
|
|
|
" TeX configuration
|
|
|
|
au BufNewFile,BufRead texmf.cnf setf texmf
|
|
|
|
|
2023-01-22 18:16:44 +00:00
|
|
|
" Thrift (Apache)
|
|
|
|
au BufNewFile,BufRead *.thrift setf thrift
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Tidy config
|
2020-09-22 19:15:31 +02:00
|
|
|
au BufNewFile,BufRead .tidyrc,tidyrc,tidy.conf setf tidy
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" TF mud client
|
2022-01-28 14:15:09 +00:00
|
|
|
au BufNewFile,BufRead .tfrc,tfrc setf tf
|
|
|
|
|
|
|
|
" TF mud client or terraform
|
|
|
|
au BufNewFile,BufRead *.tf call dist#ft#FTtf()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" TLA+
|
2022-01-28 14:15:09 +00:00
|
|
|
au BufNewFile,BufRead *.tla setf tla
|
2022-01-23 17:09:05 +00:00
|
|
|
|
2017-03-05 17:04:09 +01:00
|
|
|
" tmux configuration
|
2017-03-16 17:41:02 +01:00
|
|
|
au BufNewFile,BufRead {.,}tmux*.conf setf tmux
|
2017-03-05 17:04:09 +01:00
|
|
|
|
2020-12-07 18:05:02 +01:00
|
|
|
" TOML
|
|
|
|
au BufNewFile,BufRead *.toml setf toml
|
|
|
|
|
2004-10-24 19:18:58 +00:00
|
|
|
" TPP - Text Presentation Program
|
2022-01-28 14:15:09 +00:00
|
|
|
au BufNewFile,BufRead *.tpp setf tpp
|
2004-10-24 19:18:58 +00:00
|
|
|
|
2011-03-22 14:05:35 +01:00
|
|
|
" Treetop
|
|
|
|
au BufRead,BufNewFile *.treetop setf treetop
|
|
|
|
|
2005-10-12 20:58:49 +00:00
|
|
|
" Trustees
|
|
|
|
au BufNewFile,BufRead trustees.conf setf trustees
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" TSS - Geometry
|
|
|
|
au BufNewFile,BufReadPost *.tssgm setf tssgm
|
|
|
|
|
|
|
|
" TSS - Optics
|
|
|
|
au BufNewFile,BufReadPost *.tssop setf tssop
|
|
|
|
|
|
|
|
" TSS - Command Line (temporary)
|
|
|
|
au BufNewFile,BufReadPost *.tsscl setf tsscl
|
|
|
|
|
2022-07-09 20:27:07 +01:00
|
|
|
" TSV Files
|
|
|
|
au BufNewFile,BufRead *.tsv setf tsv
|
|
|
|
|
2012-04-05 17:33:26 +02:00
|
|
|
" TWIG files
|
|
|
|
au BufNewFile,BufReadPost *.twig setf twig
|
|
|
|
|
2022-09-27 11:57:13 +01:00
|
|
|
" TypeScript or Qt translation file (which is XML)
|
2020-12-03 21:08:37 +01:00
|
|
|
au BufNewFile,BufReadPost *.ts
|
|
|
|
\ if getline(1) =~ '<?xml' |
|
|
|
|
\ setf xml |
|
|
|
|
\ else |
|
|
|
|
\ setf typescript |
|
|
|
|
\ endif
|
2019-04-16 22:21:05 +02:00
|
|
|
|
2022-09-27 11:57:13 +01:00
|
|
|
" TypeScript module and common
|
|
|
|
au BufNewFile,BufRead *.mts,*.cts setf typescript
|
|
|
|
|
2019-08-26 21:28:15 +02:00
|
|
|
" TypeScript with React
|
|
|
|
au BufNewFile,BufRead *.tsx setf typescriptreact
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Motif UIT/UIL files
|
|
|
|
au BufNewFile,BufRead *.uit,*.uil setf uil
|
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Udev conf
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/udev/udev.conf setf udevconf
|
2005-07-04 22:49:24 +00:00
|
|
|
|
|
|
|
" Udev permissions
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/udev/permissions.d/*.permissions setf udevperm
|
2005-07-04 22:49:24 +00:00
|
|
|
"
|
|
|
|
" Udev symlinks config
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/udev/cdsymlinks.conf setf sh
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2023-02-23 20:31:08 +00:00
|
|
|
" Ungrammar, AKA Un-grammar
|
|
|
|
au BufNewFile,BufRead *.ungram setf ungrammar
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" UnrealScript
|
|
|
|
au BufNewFile,BufRead *.uc setf uc
|
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Updatedb
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/updatedb.conf setf updatedb
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2011-03-22 14:05:35 +01:00
|
|
|
" Upstart (init(8)) config files
|
2013-09-22 14:42:24 +02:00
|
|
|
au BufNewFile,BufRead */usr/share/upstart/*.conf setf upstart
|
|
|
|
au BufNewFile,BufRead */usr/share/upstart/*.override setf upstart
|
2013-03-07 13:20:54 +01:00
|
|
|
au BufNewFile,BufRead */etc/init/*.conf,*/etc/init/*.override setf upstart
|
2016-08-26 19:52:37 +02:00
|
|
|
au BufNewFile,BufRead */.init/*.conf,*/.init/*.override setf upstart
|
2013-09-22 14:42:24 +02:00
|
|
|
au BufNewFile,BufRead */.config/upstart/*.conf setf upstart
|
|
|
|
au BufNewFile,BufRead */.config/upstart/*.override setf upstart
|
2011-03-22 14:05:35 +01:00
|
|
|
|
2022-01-29 12:45:47 +00:00
|
|
|
" Vala
|
|
|
|
au BufNewFile,BufRead *.vala setf vala
|
|
|
|
|
2022-09-16 15:47:09 +01:00
|
|
|
" VDF
|
|
|
|
au BufNewFile,BufRead *.vdf setf vdf
|
|
|
|
|
2022-08-29 11:57:30 +01:00
|
|
|
" VDM
|
|
|
|
au BufRead,BufNewFile *.vdmpp,*.vpp setf vdmpp
|
|
|
|
au BufRead,BufNewFile *.vdmrt setf vdmrt
|
|
|
|
au BufRead,BufNewFile *.vdmsl,*.vdm setf vdmsl
|
|
|
|
|
2006-04-18 21:55:01 +00:00
|
|
|
" Vera
|
|
|
|
au BufNewFile,BufRead *.vr,*.vri,*.vrh setf vera
|
|
|
|
|
2022-04-08 19:55:39 +01:00
|
|
|
" Vagrant (uses Ruby syntax)
|
|
|
|
au BufNewFile,BufRead Vagrantfile setf ruby
|
|
|
|
|
2023-04-22 21:38:47 +01:00
|
|
|
" Verilog HDL, V or Coq
|
|
|
|
au BufNewFile,BufRead *.v call dist#ft#FTv()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-08-15 21:41:48 +00:00
|
|
|
" Verilog-AMS HDL
|
|
|
|
au BufNewFile,BufRead *.va,*.vams setf verilogams
|
|
|
|
|
2014-02-11 19:15:50 +01:00
|
|
|
" SystemVerilog
|
2014-02-24 02:51:51 +01:00
|
|
|
au BufNewFile,BufRead *.sv,*.svh setf systemverilog
|
2014-02-11 19:15:50 +01:00
|
|
|
|
2022-11-07 11:17:29 +00:00
|
|
|
" VHS tape
|
|
|
|
" .tape is also used by TapeCalc, which we do not support ATM. If TapeCalc
|
|
|
|
" support is needed the contents of the file needs to be inspected.
|
|
|
|
au BufNewFile,BufRead *.tape setf vhs
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" VHDL
|
2020-03-19 20:32:01 +01:00
|
|
|
au BufNewFile,BufRead *.hdl,*.vhd,*.vhdl,*.vbe,*.vst,*.vho setf vhdl
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Vim script
|
2006-03-21 21:29:36 +00:00
|
|
|
au BufNewFile,BufRead *.vim,*.vba,.exrc,_exrc setf vim
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Viminfo file
|
|
|
|
au BufNewFile,BufRead .viminfo,_viminfo setf viminfo
|
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" Virata Config Script File or Drupal module
|
|
|
|
au BufRead,BufNewFile *.hw,*.module,*.pkg
|
|
|
|
\ if getline(1) =~ '<?php' |
|
|
|
|
\ setf php |
|
|
|
|
\ else |
|
|
|
|
\ setf virata |
|
|
|
|
\ endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Visual Basic (also uses *.bas) or FORM
|
2022-01-31 17:09:14 +00:00
|
|
|
au BufNewFile,BufRead *.frm call dist#ft#FTfrm()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" SaxBasic is close to Visual Basic
|
|
|
|
au BufNewFile,BufRead *.sba setf vb
|
|
|
|
|
|
|
|
" Vgrindefs file
|
|
|
|
au BufNewFile,BufRead vgrindefs setf vgrindefs
|
|
|
|
|
|
|
|
" VRML V1.0c
|
|
|
|
au BufNewFile,BufRead *.wrl setf vrml
|
|
|
|
|
2014-07-26 13:40:44 +02:00
|
|
|
" Vroom (vim testing and executable documentation)
|
|
|
|
au BufNewFile,BufRead *.vroom setf vroom
|
|
|
|
|
2019-07-27 21:57:21 +02:00
|
|
|
" Vue.js Single File Component
|
|
|
|
au BufNewFile,BufRead *.vue setf vue
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2018-07-31 22:23:58 +02:00
|
|
|
" WebAssembly
|
2023-03-23 15:45:46 +00:00
|
|
|
au BufNewFile,BufRead *.wat setf wat
|
|
|
|
au BufNewFile,BufRead *.wast setf wast
|
2018-07-31 22:23:58 +02:00
|
|
|
|
2023-03-21 22:03:15 +00:00
|
|
|
" WebAssembly Interface Type (WIT)
|
|
|
|
au BufNewFile,BufRead *.wit setf wit
|
|
|
|
|
2019-07-27 21:57:21 +02:00
|
|
|
" Webmacro
|
|
|
|
au BufNewFile,BufRead *.wm setf webmacro
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Wget config
|
|
|
|
au BufNewFile,BufRead .wgetrc,wgetrc setf wget
|
|
|
|
|
2022-05-02 23:51:13 +01:00
|
|
|
" Wget2 config
|
|
|
|
au BufNewFile,BufRead .wget2rc,wget2rc setf wget2
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Website MetaLanguage
|
|
|
|
au BufNewFile,BufRead *.wml setf wml
|
|
|
|
|
|
|
|
" Winbatch
|
|
|
|
au BufNewFile,BufRead *.wbt setf winbatch
|
|
|
|
|
2006-03-13 22:15:53 +00:00
|
|
|
" WSML
|
|
|
|
au BufNewFile,BufRead *.wsml setf wsml
|
|
|
|
|
2017-11-02 22:58:42 +01:00
|
|
|
" WPL
|
|
|
|
au BufNewFile,BufRead *.wpl setf xml
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" WvDial
|
|
|
|
au BufNewFile,BufRead wvdial.conf,.wvdialrc setf wvdial
|
|
|
|
|
|
|
|
" CVS RC file
|
|
|
|
au BufNewFile,BufRead .cvsrc setf cvsrc
|
|
|
|
|
|
|
|
" CVS commit file
|
|
|
|
au BufNewFile,BufRead cvs\d\+ setf cvs
|
|
|
|
|
|
|
|
" WEB (*.web is also used for Winbatch: Guess, based on expecting "%" comment
|
|
|
|
" lines in a WEB file).
|
|
|
|
au BufNewFile,BufRead *.web
|
|
|
|
\ if getline(1)[0].getline(2)[0].getline(3)[0].getline(4)[0].getline(5)[0] =~ "%" |
|
|
|
|
\ setf web |
|
|
|
|
\ else |
|
|
|
|
\ setf winbatch |
|
|
|
|
\ endif
|
|
|
|
|
|
|
|
" Windows Scripting Host and Windows Script Component
|
|
|
|
au BufNewFile,BufRead *.ws[fc] setf wsh
|
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" XHTML
|
|
|
|
au BufNewFile,BufRead *.xhtml,*.xht setf xhtml
|
|
|
|
|
2021-10-04 21:32:54 +01:00
|
|
|
" X Pixmap (dynamically sets colors, this used to trigger on BufEnter to make
|
|
|
|
" it work better, but that breaks setting 'filetype' manually)
|
|
|
|
au BufNewFile,BufRead *.xpm
|
2004-06-13 20:20:40 +00:00
|
|
|
\ if getline(1) =~ "XPM2" |
|
|
|
|
\ setf xpm2 |
|
|
|
|
\ else |
|
|
|
|
\ setf xpm |
|
|
|
|
\ endif
|
2021-10-04 21:32:54 +01:00
|
|
|
au BufNewFile,BufRead *.xpm2 setf xpm2
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" XFree86 config
|
|
|
|
au BufNewFile,BufRead XF86Config
|
|
|
|
\ if getline(1) =~ '\<XConfigurator\>' |
|
2011-04-28 19:02:44 +02:00
|
|
|
\ let b:xf86conf_xfree86_version = 3 |
|
2004-06-13 20:20:40 +00:00
|
|
|
\ endif |
|
|
|
|
\ setf xf86conf
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */xorg.conf.d/*.conf
|
|
|
|
\ let b:xf86conf_xfree86_version = 4 |
|
|
|
|
\ setf xf86conf
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Xorg config
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead xorg.conf,xorg.conf-4 let b:xf86conf_xfree86_version = 4 | setf xf86conf
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Xinetd conf
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/xinetd.conf setf xinetd
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" XS Perl extension interface language
|
|
|
|
au BufNewFile,BufRead *.xs setf xs
|
|
|
|
|
|
|
|
" X resources file
|
|
|
|
au BufNewFile,BufRead .Xdefaults,.Xpdefaults,.Xresources,xdm-config,*.ad setf xdefaults
|
|
|
|
|
|
|
|
" Xmath
|
|
|
|
au BufNewFile,BufRead *.msc,*.msf setf xmath
|
|
|
|
au BufNewFile,BufRead *.ms
|
2017-11-11 15:37:45 +01:00
|
|
|
\ if !dist#ft#FTnroff() | setf xmath | endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" XML specific variants: docbk and xbl
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.xml call dist#ft#FTxml()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" XMI (holding UML models) is also XML
|
|
|
|
au BufNewFile,BufRead *.xmi setf xml
|
|
|
|
|
2021-11-27 14:31:47 +00:00
|
|
|
" CSPROJ files are Visual Studio.NET's XML-based C# project config files
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *.csproj,*.csproj.user setf xml
|
|
|
|
|
2021-11-27 14:31:47 +00:00
|
|
|
" FSPROJ files are Visual Studio.NET's XML-based F# project config files
|
|
|
|
au BufNewFile,BufRead *.fsproj,*.fsproj.user setf xml
|
|
|
|
|
|
|
|
" VBPROJ files are Visual Studio.NET's XML-based Visual Basic project config files
|
|
|
|
au BufNewFile,BufRead *.vbproj,*.vbproj.user setf xml
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Qt Linguist translation source and Qt User Interface Files are XML
|
2022-09-27 11:57:13 +01:00
|
|
|
" However, for .ts TypeScript is more common.
|
2019-04-19 23:33:14 +02:00
|
|
|
au BufNewFile,BufRead *.ui setf xml
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" TPM's are RDF-based descriptions of TeX packages (Nikolai Weibull)
|
|
|
|
au BufNewFile,BufRead *.tpm setf xml
|
|
|
|
|
2005-07-04 22:49:24 +00:00
|
|
|
" Xdg menus
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/xdg/menus/*.menu setf xml
|
2005-07-04 22:49:24 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" ATI graphics driver configuration
|
|
|
|
au BufNewFile,BufRead fglrxrc setf xml
|
|
|
|
|
2018-08-11 18:00:22 +02:00
|
|
|
" Web Services Description Language (WSDL)
|
|
|
|
au BufNewFile,BufRead *.wsdl setf xml
|
|
|
|
|
2022-11-24 20:01:18 +00:00
|
|
|
" Workflow Description Language (WDL)
|
|
|
|
au BufNewFile,BufRead *.wdl setf wdl
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" XLIFF (XML Localisation Interchange File Format) is also XML
|
|
|
|
au BufNewFile,BufRead *.xlf setf xml
|
|
|
|
au BufNewFile,BufRead *.xliff setf xml
|
|
|
|
|
2012-03-11 15:57:40 +01:00
|
|
|
" XML User Interface Language
|
|
|
|
au BufNewFile,BufRead *.xul setf xml
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" X11 xmodmap (also see below)
|
|
|
|
au BufNewFile,BufRead *Xmodmap setf xmodmap
|
|
|
|
|
2005-07-18 21:58:11 +00:00
|
|
|
" Xquery
|
|
|
|
au BufNewFile,BufRead *.xq,*.xql,*.xqm,*.xquery,*.xqy setf xquery
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" XSD
|
|
|
|
au BufNewFile,BufRead *.xsd setf xsd
|
|
|
|
|
|
|
|
" Xslt
|
|
|
|
au BufNewFile,BufRead *.xsl,*.xslt setf xslt
|
|
|
|
|
|
|
|
" Yacc
|
2014-03-08 18:38:28 +01:00
|
|
|
au BufNewFile,BufRead *.yy,*.yxx,*.y++ setf yacc
|
2005-06-29 22:40:58 +00:00
|
|
|
|
|
|
|
" Yacc or racc
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.y call dist#ft#FTy()
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2018-11-03 19:52:15 +01:00
|
|
|
" Yaml
|
|
|
|
au BufNewFile,BufRead *.yaml,*.yml setf yaml
|
|
|
|
|
|
|
|
" Raml
|
|
|
|
au BufNewFile,BufRead *.raml setf raml
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2011-09-14 17:55:08 +02:00
|
|
|
" yum conf (close enough to dosini)
|
2013-03-19 11:35:58 +01:00
|
|
|
au BufNewFile,BufRead */etc/yum.conf setf dosini
|
2011-09-14 17:55:08 +02:00
|
|
|
|
2022-01-23 17:09:05 +00:00
|
|
|
" YANG
|
|
|
|
au BufRead,BufNewFile *.yang setf yang
|
|
|
|
|
2023-02-21 15:18:50 +00:00
|
|
|
" Yuck
|
|
|
|
au BufNewFile,BufRead *.yuck setf yuck
|
|
|
|
|
2012-05-18 13:46:39 +02:00
|
|
|
" Zimbu
|
2013-03-19 11:35:58 +01:00
|
|
|
au BufNewFile,BufRead *.zu setf zimbu
|
2014-12-06 23:33:00 +01:00
|
|
|
" Zimbu Templates
|
|
|
|
au BufNewFile,BufRead *.zut setf zimbutempl
|
2012-05-18 13:46:39 +02:00
|
|
|
|
2006-03-26 21:06:50 +00:00
|
|
|
" Zope
|
|
|
|
" dtml (zope dynamic template markup language), pt (zope page template),
|
|
|
|
" cpt (zope form controller page template)
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.dtml,*.pt,*.cpt call dist#ft#FThtml()
|
2006-03-26 21:06:50 +00:00
|
|
|
" zsql (zope sql method)
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufNewFile,BufRead *.zsql call dist#ft#SQL()
|
2006-03-26 21:06:50 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Z80 assembler asz80
|
|
|
|
au BufNewFile,BufRead *.z8a setf z8a
|
|
|
|
|
|
|
|
augroup END
|
|
|
|
|
|
|
|
|
|
|
|
" Source the user-specified filetype file, for backwards compatibility with
|
|
|
|
" Vim 5.x.
|
2005-03-18 20:25:31 +00:00
|
|
|
if exists("myfiletypefile") && filereadable(expand(myfiletypefile))
|
2004-06-13 20:20:40 +00:00
|
|
|
execute "source " . myfiletypefile
|
|
|
|
endif
|
|
|
|
|
|
|
|
|
|
|
|
" Check for "*" after loading myfiletypefile, so that scripts.vim is only used
|
|
|
|
" when there are no matching file name extensions.
|
|
|
|
" Don't do this for compressed files.
|
|
|
|
augroup filetypedetect
|
|
|
|
au BufNewFile,BufRead *
|
|
|
|
\ if !did_filetype() && expand("<amatch>") !~ g:ft_ignore_pat
|
|
|
|
\ | runtime! scripts.vim | endif
|
|
|
|
au StdinReadPost * if !did_filetype() | runtime! scripts.vim | endif
|
|
|
|
|
|
|
|
|
2021-12-03 11:44:03 +00:00
|
|
|
" Plain text files, needs to be far down to not override others. This avoids
|
|
|
|
" the "conf" type being used if there is a line starting with '#'.
|
|
|
|
" But before patterns matching everything in a directory.
|
|
|
|
au BufNewFile,BufRead *.text,README,LICENSE,COPYING,AUTHORS setf text
|
|
|
|
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Extra checks for when no filetype has been detected now. Mostly used for
|
|
|
|
" patterns that end in "*". E.g., "zsh*" matches "zsh.vim", but that's a Vim
|
|
|
|
" script file.
|
2005-03-18 20:25:31 +00:00
|
|
|
" Most of these should call s:StarSetf() to avoid names ending in .gz and the
|
|
|
|
" like are used.
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2017-12-17 17:17:07 +01:00
|
|
|
" More Apache style config files
|
|
|
|
au BufNewFile,BufRead */etc/proftpd/*.conf*,*/etc/proftpd/conf.*/* call s:StarSetf('apachestyle')
|
2019-04-04 13:11:03 +02:00
|
|
|
au BufNewFile,BufRead proftpd.conf* call s:StarSetf('apachestyle')
|
2017-12-17 17:17:07 +01:00
|
|
|
|
2011-04-01 18:03:16 +02:00
|
|
|
" More Apache config files
|
|
|
|
au BufNewFile,BufRead access.conf*,apache.conf*,apache2.conf*,httpd.conf*,srm.conf* call s:StarSetf('apache')
|
2021-09-20 20:57:31 +02:00
|
|
|
au BufNewFile,BufRead */etc/apache2/*.conf*,*/etc/apache2/conf.*/*,*/etc/apache2/mods-*/*,*/etc/apache2/sites-*/*,*/etc/httpd/conf.*/*,*/etc/httpd/mods-*/*,*/etc/httpd/sites-*/*,*/etc/httpd/conf.d/*.conf* call s:StarSetf('apache')
|
2008-07-13 17:41:49 +00:00
|
|
|
|
2021-12-03 11:44:03 +00:00
|
|
|
" APT config file
|
|
|
|
au BufNewFile,BufRead */etc/apt/apt.conf.d/{[-_[:alnum:]]\+,[-_.[:alnum:]]\+.conf} call s:StarSetf('aptconf')
|
|
|
|
|
2005-09-20 23:22:24 +00:00
|
|
|
" Asterisk config file
|
2006-04-30 18:54:39 +00:00
|
|
|
au BufNewFile,BufRead *asterisk/*.conf* call s:StarSetf('asterisk')
|
2006-03-21 21:29:36 +00:00
|
|
|
au BufNewFile,BufRead *asterisk*/*voicemail.conf* call s:StarSetf('asteriskvm')
|
2005-09-20 23:22:24 +00:00
|
|
|
|
2007-05-05 18:24:42 +00:00
|
|
|
" Bazaar version control
|
|
|
|
au BufNewFile,BufRead bzr_log.* setf bzr
|
|
|
|
|
2017-04-15 14:36:07 +02:00
|
|
|
" Bazel build file
|
|
|
|
if !has("fname_case")
|
2020-11-22 15:51:24 +01:00
|
|
|
au BufNewFile,BufRead *.BUILD,BUILD setf bzl
|
2017-04-15 14:36:07 +02:00
|
|
|
endif
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" BIND zone
|
2006-03-25 21:59:56 +00:00
|
|
|
au BufNewFile,BufRead */named/db.*,*/bind/db.* call s:StarSetf('bindzone')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2020-11-22 15:51:24 +01:00
|
|
|
au BufNewFile,BufRead cabal.project.* call s:StarSetf('cabalproject')
|
|
|
|
|
2011-04-28 19:02:44 +02:00
|
|
|
" Calendar
|
|
|
|
au BufNewFile,BufRead */.calendar/*,
|
|
|
|
\*/share/calendar/*/calendar.*,*/share/calendar/calendar.*
|
|
|
|
\ call s:StarSetf('calendar')
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Changelog
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead [cC]hange[lL]og*
|
|
|
|
\ if getline(1) =~ '; urgency='
|
|
|
|
\| call s:StarSetf('debchangelog')
|
|
|
|
\|else
|
|
|
|
\| call s:StarSetf('changelog')
|
|
|
|
\|endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Crontab
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead crontab,crontab.*,*/etc/cron.d/* call s:StarSetf('crontab')
|
2006-03-28 21:08:56 +00:00
|
|
|
|
2011-04-28 19:02:44 +02:00
|
|
|
" dnsmasq(8) configuration
|
|
|
|
au BufNewFile,BufRead */etc/dnsmasq.d/* call s:StarSetf('dnsmasq')
|
|
|
|
|
2021-12-26 17:31:35 +00:00
|
|
|
" Dockerfile
|
2021-12-27 10:35:52 +00:00
|
|
|
au BufNewFile,BufRead Dockerfile.*,Containerfile.* call s:StarSetf('dockerfile')
|
2021-12-26 17:31:35 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Dracula
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead drac.* call s:StarSetf('dracula')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Fvwm
|
2007-05-05 18:24:42 +00:00
|
|
|
au BufNewFile,BufRead */.fvwm/* call s:StarSetf('fvwm')
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *fvwmrc*,*fvwm95*.hook
|
2005-03-18 20:25:31 +00:00
|
|
|
\ let b:fvwm_version = 1 | call s:StarSetf('fvwm')
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *fvwm2rc*
|
2005-03-18 20:25:31 +00:00
|
|
|
\ if expand("<afile>:e") == "m4"
|
|
|
|
\| call s:StarSetf('fvwm2m4')
|
|
|
|
\|else
|
|
|
|
\| let b:fvwm_version = 2 | call s:StarSetf('fvwm')
|
|
|
|
\|endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2010-01-06 20:54:52 +01:00
|
|
|
" Gedcom
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead */tmp/lltmp* call s:StarSetf('gedcom')
|
2010-01-06 20:54:52 +01:00
|
|
|
|
2019-04-04 13:11:03 +02:00
|
|
|
" Git
|
2022-07-05 18:42:56 +01:00
|
|
|
au BufNewFile,BufRead */.gitconfig.d/*,*/etc/gitconfig.d/* call s:StarSetf('gitconfig')
|
2019-04-04 13:11:03 +02:00
|
|
|
|
|
|
|
" Gitolite
|
|
|
|
au BufNewFile,BufRead */gitolite-admin/conf/* call s:StarSetf('gitolite')
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" GTK RC
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead .gtkrc*,gtkrc* call s:StarSetf('gtkrc')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Jam
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead Prl*.*,JAM*.* call s:StarSetf('jam')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Jargon
|
|
|
|
au! BufNewFile,BufRead *jarg*
|
2005-03-18 20:25:31 +00:00
|
|
|
\ if getline(1).getline(2).getline(3).getline(4).getline(5) =~? 'THIS IS THE JARGON FILE'
|
|
|
|
\| call s:StarSetf('jargon')
|
|
|
|
\|endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2019-04-04 13:11:03 +02:00
|
|
|
" Java Properties resource file (note: doesn't catch font.properties.pl)
|
|
|
|
au BufNewFile,BufRead *.properties_??_??_* call s:StarSetf('jproperties')
|
|
|
|
|
2006-04-19 21:23:36 +00:00
|
|
|
" Kconfig
|
|
|
|
au BufNewFile,BufRead Kconfig.* call s:StarSetf('kconfig')
|
|
|
|
|
2011-04-28 19:02:44 +02:00
|
|
|
" Lilo: Linux loader
|
|
|
|
au BufNewFile,BufRead lilo.conf* call s:StarSetf('lilo')
|
|
|
|
|
2022-01-13 14:49:10 +00:00
|
|
|
" Libsensors
|
|
|
|
au BufNewFile,BufRead */etc/sensors.d/[^.]* call s:StarSetf('sensors')
|
|
|
|
|
2010-07-29 22:33:18 +02:00
|
|
|
" Logcheck
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/logcheck/*.d*/* call s:StarSetf('logcheck')
|
2010-07-29 22:33:18 +02:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Makefile
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead [mM]akefile* call s:StarSetf('make')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Ruby Makefile
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead [rR]akefile* call s:StarSetf('ruby')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Mail (also matches muttrc.vim, so this is below the other checks)
|
2017-11-02 22:58:42 +01:00
|
|
|
au BufNewFile,BufRead {neo,}mutt[[:alnum:]._-]\\\{6\} setf mail
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2016-07-17 13:35:14 +02:00
|
|
|
au BufNewFile,BufRead reportbug-* call s:StarSetf('mail')
|
|
|
|
|
2008-06-25 20:13:35 +00:00
|
|
|
" Modconf
|
2011-04-28 19:02:44 +02:00
|
|
|
au BufNewFile,BufRead */etc/modutils/*
|
|
|
|
\ if executable(expand("<afile>")) != 1
|
|
|
|
\| call s:StarSetf('modconf')
|
|
|
|
\|endif
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/modprobe.* call s:StarSetf('modconf')
|
2008-06-25 20:13:35 +00:00
|
|
|
|
2021-12-03 19:24:41 +00:00
|
|
|
" Mutt setup files (must be before catch *.rc)
|
|
|
|
au BufNewFile,BufRead */etc/Muttrc.d/* call s:StarSetf('muttrc')
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Mutt setup file
|
2008-06-25 20:13:35 +00:00
|
|
|
au BufNewFile,BufRead .mutt{ng,}rc*,*/.mutt{ng,}/mutt{ng,}rc* call s:StarSetf('muttrc')
|
2005-08-19 20:40:30 +00:00
|
|
|
au BufNewFile,BufRead mutt{ng,}rc*,Mutt{ng,}rc* call s:StarSetf('muttrc')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2017-11-02 22:58:42 +01:00
|
|
|
" Neomutt setup file
|
|
|
|
au BufNewFile,BufRead .neomuttrc*,*/.neomutt/neomuttrc* call s:StarSetf('neomuttrc')
|
|
|
|
au BufNewFile,BufRead neomuttrc*,Neomuttrc* call s:StarSetf('neomuttrc')
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Nroff macros
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead tmac.* call s:StarSetf('nroff')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2016-11-06 14:48:06 +01:00
|
|
|
" OpenBSD hostname.if
|
2022-07-05 18:42:56 +01:00
|
|
|
au BufNewFile,BufRead */etc/hostname.* call s:StarSetf('config')
|
2016-11-06 14:48:06 +01:00
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Pam conf
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/pam.d/* call s:StarSetf('pamconf')
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Printcap and Termcap
|
|
|
|
au BufNewFile,BufRead *printcap*
|
2005-03-18 20:25:31 +00:00
|
|
|
\ if !did_filetype()
|
|
|
|
\| let b:ptcap_type = "print" | call s:StarSetf('ptcap')
|
|
|
|
\|endif
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead *termcap*
|
2005-03-18 20:25:31 +00:00
|
|
|
\ if !did_filetype()
|
|
|
|
\| let b:ptcap_type = "term" | call s:StarSetf('ptcap')
|
|
|
|
\|endif
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2013-04-12 15:09:51 +02:00
|
|
|
" ReDIF
|
|
|
|
" Only used when the .rdf file was not detected to be XML.
|
2017-11-11 15:37:45 +01:00
|
|
|
au BufRead,BufNewFile *.rdf call dist#ft#Redif()
|
2013-04-12 15:09:51 +02:00
|
|
|
|
2011-04-28 19:02:44 +02:00
|
|
|
" Remind
|
|
|
|
au BufNewFile,BufRead .reminders* call s:StarSetf('remind')
|
|
|
|
|
2019-04-04 13:11:03 +02:00
|
|
|
" SGML catalog file
|
|
|
|
au BufNewFile,BufRead sgml.catalog* call s:StarSetf('catalog')
|
|
|
|
|
2021-04-05 13:10:43 +02:00
|
|
|
" avoid doc files being recognized a shell files
|
|
|
|
au BufNewFile,BufRead */doc/{,.}bash[_-]completion{,.d,.sh}{,/*} setf text
|
|
|
|
|
2019-01-18 21:46:47 +01:00
|
|
|
" Shell scripts ending in a star
|
2021-04-05 13:10:43 +02:00
|
|
|
au BufNewFile,BufRead .bashrc*,.bash[_-]profile*,.bash[_-]logout*,.bash[_-]aliases*,bash-fc[-.]*,PKGBUILD*,APKBUILD*,*/{,.}bash[_-]completion{,.d,.sh}{,/*} call dist#ft#SetFileTypeSH("bash")
|
2019-01-18 21:46:47 +01:00
|
|
|
au BufNewFile,BufRead .kshrc* call dist#ft#SetFileTypeSH("ksh")
|
|
|
|
au BufNewFile,BufRead .profile* call dist#ft#SetFileTypeSH(getline(1))
|
|
|
|
|
2021-11-16 16:38:42 +00:00
|
|
|
" Sudoers
|
|
|
|
au BufNewFile,BufRead */etc/sudoers.d/* call s:StarSetf('sudoers')
|
|
|
|
|
2019-01-18 21:46:47 +01:00
|
|
|
" tcsh scripts ending in a star
|
|
|
|
au BufNewFile,BufRead .tcshrc* call dist#ft#SetFileTypeShell("tcsh")
|
|
|
|
|
|
|
|
" csh scripts ending in a star
|
|
|
|
au BufNewFile,BufRead .login*,.cshrc* call dist#ft#CSH()
|
|
|
|
|
2021-10-12 13:58:23 +01:00
|
|
|
" tmux configuration with arbitrary extension
|
|
|
|
au BufNewFile,BufRead {.,}tmux*.conf* setf tmux
|
|
|
|
|
2019-04-04 13:11:03 +02:00
|
|
|
" VHDL
|
|
|
|
au BufNewFile,BufRead *.vhdl_[0-9]* call s:StarSetf('vhdl')
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Vim script
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead *vimrc* call s:StarSetf('vim')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" Subversion commit file
|
2004-12-31 20:58:58 +00:00
|
|
|
au BufNewFile,BufRead svn-commit*.tmp setf svn
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" X resources file
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead Xresources*,*/app-defaults/*,*/Xresources/* call s:StarSetf('xdefaults')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" XFree86 config
|
|
|
|
au BufNewFile,BufRead XF86Config-4*
|
2011-04-01 18:03:16 +02:00
|
|
|
\ let b:xf86conf_xfree86_version = 4 | call s:StarSetf('xf86conf')
|
2004-06-13 20:20:40 +00:00
|
|
|
au BufNewFile,BufRead XF86Config*
|
2005-03-18 20:25:31 +00:00
|
|
|
\ if getline(1) =~ '\<XConfigurator\>'
|
2011-04-01 18:03:16 +02:00
|
|
|
\| let b:xf86conf_xfree86_version = 3
|
2005-03-18 20:25:31 +00:00
|
|
|
\|endif
|
|
|
|
\|call s:StarSetf('xf86conf')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
" X11 xmodmap
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead *xmodmap* call s:StarSetf('xmodmap')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
2005-06-29 22:40:58 +00:00
|
|
|
" Xinetd conf
|
2011-04-01 18:03:16 +02:00
|
|
|
au BufNewFile,BufRead */etc/xinetd.d/* call s:StarSetf('xinetd')
|
2005-06-29 22:40:58 +00:00
|
|
|
|
2011-09-14 17:55:08 +02:00
|
|
|
" yum conf (close enough to dosini)
|
2013-03-19 11:35:58 +01:00
|
|
|
au BufNewFile,BufRead */etc/yum.repos.d/* call s:StarSetf('dosini')
|
2011-09-14 17:55:08 +02:00
|
|
|
|
2019-01-18 23:14:43 +01:00
|
|
|
" Z-Shell script ending in a star
|
|
|
|
au BufNewFile,BufRead .zsh*,.zlog*,.zcompdump* call s:StarSetf('zsh')
|
2005-03-18 20:25:31 +00:00
|
|
|
au BufNewFile,BufRead zsh*,zlog* call s:StarSetf('zsh')
|
2004-06-13 20:20:40 +00:00
|
|
|
|
|
|
|
|
2017-06-22 14:47:22 +02:00
|
|
|
" Help files match *.txt but should have a last line that is a modeline.
|
2018-11-03 19:52:15 +01:00
|
|
|
au BufNewFile,BufRead *.txt
|
2017-06-22 14:47:22 +02:00
|
|
|
\ if getline('$') !~ 'vim:.*ft=help'
|
|
|
|
\| setf text
|
|
|
|
\| endif
|
2011-12-30 13:11:27 +01:00
|
|
|
|
2022-09-11 13:37:37 +01:00
|
|
|
" Blueprint markup files
|
|
|
|
au BufNewFile,BufRead *.blp setf blueprint
|
2009-12-02 11:08:38 +00:00
|
|
|
|
|
|
|
" Use the filetype detect plugins. They may overrule any of the previously
|
|
|
|
" detected filetypes.
|
|
|
|
runtime! ftdetect/*.vim
|
|
|
|
|
2012-02-05 20:08:36 +01:00
|
|
|
" NOTE: The above command could have ended the filetypedetect autocmd group
|
2012-02-13 00:05:22 +01:00
|
|
|
" and started another one. Let's make sure it has ended to get to a consistent
|
2012-02-05 20:08:36 +01:00
|
|
|
" state.
|
|
|
|
augroup END
|
2009-12-02 11:08:38 +00:00
|
|
|
|
2017-06-04 19:00:32 +02:00
|
|
|
" Generic configuration file. Use FALLBACK, it's just guessing!
|
2012-02-05 20:08:36 +01:00
|
|
|
au filetypedetect BufNewFile,BufRead,StdinReadPost *
|
2004-06-13 20:20:40 +00:00
|
|
|
\ if !did_filetype() && expand("<amatch>") !~ g:ft_ignore_pat
|
|
|
|
\ && (getline(1) =~ '^#' || getline(2) =~ '^#' || getline(3) =~ '^#'
|
|
|
|
\ || getline(4) =~ '^#' || getline(5) =~ '^#') |
|
2017-06-04 19:00:32 +02:00
|
|
|
\ setf FALLBACK conf |
|
2004-06-13 20:20:40 +00:00
|
|
|
\ endif
|
|
|
|
|
|
|
|
|
|
|
|
" If the GUI is already running, may still need to install the Syntax menu.
|
|
|
|
" Don't do it when the 'M' flag is included in 'guioptions'.
|
|
|
|
if has("menu") && has("gui_running")
|
|
|
|
\ && !exists("did_install_syntax_menu") && &guioptions !~# "M"
|
|
|
|
source <sfile>:p:h/menu.vim
|
|
|
|
endif
|
|
|
|
|
2007-05-10 18:44:18 +00:00
|
|
|
" Function called for testing all functions defined here. These are
|
|
|
|
" script-local, thus need to be executed here.
|
|
|
|
" Returns a string with error messages (hopefully empty).
|
2022-02-17 21:30:26 +00:00
|
|
|
func TestFiletypeFuncs(testlist)
|
2007-05-10 18:44:18 +00:00
|
|
|
let output = ''
|
|
|
|
for f in a:testlist
|
|
|
|
try
|
|
|
|
exe f
|
|
|
|
catch
|
|
|
|
let output = output . "\n" . f . ": " . v:exception
|
|
|
|
endtry
|
|
|
|
endfor
|
|
|
|
return output
|
|
|
|
endfunc
|
|
|
|
|
2004-06-13 20:20:40 +00:00
|
|
|
" Restore 'cpoptions'
|
|
|
|
let &cpo = s:cpo_save
|
|
|
|
unlet s:cpo_save
|